博碩士論文 104521019 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:46 、訪客IP:3.145.176.131
姓名 鄭宇亨(Yu-Heng Cheng)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 具資料獨立相位追蹤補償技術之10Gbps半速率時脈與資料回復電路
(A 10 Gbps Half-Rate Clock and Data Recovery with Data Independent Phase Tracking Compensation Technique)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨著行動裝置、電腦網路以及半導體產業日益蓬勃發展,傳統並列傳輸已漸被串列傳輸所取代,並且資料傳遞的速率日漸提升。例如高速串列傳輸技術所使用之PCI-Express、SATA、USB或是光纖網路中的SONET等規格皆已採用串列傳輸作為介面,並且在最新世代規格中,資料傳輸速度甚至到達百億位元每秒等級,因此在電路設計複雜度上也大大提升。
本論文參考USB 3.1 Gen2規格實現一個具資料獨立相位追蹤補償技術之半速率時脈與資料回復電路,並提出相位追蹤補償相位偵測器,針對傳統二進位相位偵測器在高速傳輸下之缺陷做改良。在高速傳輸下,追鎖資料相位變化能力及迴路延遲是影響時脈與資料回復電路效能的重要因素,當輸入資料有連續相同位元時傳統二進位相位偵測器無法判斷領先落後且輸出訊號最小脈波寬度會隨資料速率上升而縮小使得訊號完整度下降,此外,傳統二進位相位偵測器使用同步電路整合訊號邏輯,對減少迴路延遲是一大阻礙。而相位追蹤補償相位偵測器能在輸入資料有連續相同位元下亦能調整還原時脈相位,並使用輸入資料取樣還原時脈來除去同步電路緩解迴路延遲進而提升抖動容忍度。本論文使用TSMC 90 nm (TN90GUTM) 1P9M CMOS製程,操作電壓為1 V,輸入資料為10 Gbps PRBS7時,還原時脈速率為5 GHz,還原時脈之峰對峰值21.2 pspp,方均根值3.3 psrms,功率消耗為30.1 mW,晶片面積為1.59 mm2,核心電路面積為0.154 mm2。
摘要(英) In recent years, according to the rapid development of the process and computers, the series data transmission is widely used for the bus instead of the parallel transmission and the data rate increases progressively, such as PCI-Express, SATA, USB and SONET in the fiber network. The data rate has even risen up to ten billion bits per second in the latest generation specifications. Therefore, the circuit design complexity is greatly increased.
This thesis presents a clock and data recovery (CDR) with a data independent phase tracking compensation technique which takes the USB 3.1 Gen2 specification as a reference material. The proposed CDR presents a phase tracking compensation phase detector (PTCPD) which improved the drawback of the conventional bang-bang phase detector (BBPD) in high-speed transmission. When input data has long run situation, the BBPD can’t determine leading or lagging and the minimum pulse width of BBPD output signal will decrease with the increasing of data transmission rate. Above situation will degrade the jitter tolerance (JTOL) and the signal integrity. In addition, the BBPD needs re-timing circuit to integrate signal logic which is an obstacle for reducing the loop latency. The PTCPD can adjust the recovered clock phase in long run situation and use input data sampling recovered clock to remove the re-timing circuit which make JTOL enhanced. The chip is fabricated by a 90 nm standard CMOS process with a supply voltage of 1 V and the input data is 10 Gbps PRBS7 pattern. The measured jitter of the recovered clock is 3.3 psrms, 21.2 pspp, the chip area is 1.59 mm2, the core area is 0.154 mm2 and the total power consumption is 30.1 mW.
關鍵字(中) ★ 時脈與資料回復電路
★ 鎖相迴路
★ 相位追蹤補償
★ 二進位相位偵測器
關鍵字(英) ★ Clock and Data Recovery (CDR)
★ Phase Locked Loop (PLL)
★ Phase Tracking Compensation
★ Bang Bang Phase Detector (BBPD)
論文目次 摘要 i
Abstract ii
誌謝 iii
目錄 v
圖目錄 x
表目錄 xiv
第1章 緒論 1
1.1 研究動機 1
1.2 論文架構 4
第2章 高速串列傳輸之訊號完整性 5
2.1 基本觀念 5
2.1.1 隨機二元資料之型態 5
2.1.2 隨機二元資料之特性 6
2.1.3 資料編排形式 7
2.2 時脈抖動簡介 8
2.2.1 隨機性抖動(Random Jitter, RJ) 9
2.2.2 定量性抖動(Deterministic Jitter, DJ) 10
2.2.2.1 資料相關抖動(Data Dependent Jitter, DDJ) 10
2.2.2.2 責任週期失真(Duty Cycle Distortion, DCD) 11
2.2.2.3 週期性抖動(PJ) 12
2.2.3 抖動量測的方法 13
2.2.3.1 時間間隔誤差(Time Interval Error, TIE) 14
2.2.3.2 週期抖動(Period Jitter) 15
2.2.3.3 循環抖動(Cycle-to-cycle Jitter, C2C Jitter) 16
2.2.3.4 抖動量測方法之總結 17
2.3 眼圖分析 18
2.4 誤碼率 19
2.5 相位雜訊理論 20
2.5.1 Leeson 相位雜訊模型(Linear Time Invariance, LTI)[18]-[19] 21
2.5.2 Hajimiri相位雜訊模型(Linear Time Variance, LTV)[20]-[23] 23
第3章 時脈與資料回復電路之背景簡介 29
3.1 時脈與資料回復電路簡介 29
3.1.1 相位偵測器型態 30
3.1.2 取樣速率 31
3.1.3 抖動轉移函數(Jitter Transfer, JTF) 32
3.1.4 抖動容忍度(Jitter Tolerance, JTOL) 33
3.2 傳統時脈與資料回復電路 34
3.2.1 鎖相迴路式時脈與資料回復電路[26]-[27] 34
3.2.2 混合鎖相迴路/延遲鎖相迴路式時脈與資料回復電路[28]-[29] 36
3.2.3 超取樣式時脈與資料回復電路[30] 37
3.2.4 相位選擇式時脈與資料回復電路[31] 38
3.2.5 突發模式時脈與資料回復電路[32] 39
3.2.6 雙路徑式時脈與資料回復電路[33] 40
3.3 提升抖動容忍度之設計背景 41
3.3.1 多增益路徑之超取樣式時脈與資料回復電路[35]-[37] 41
3.3.2 自適應迴路增益之時脈與資料回復電路[24]、[38]-[39] 42
3.4 比較與討論 44
第4章 具資料獨立相位追蹤補償技術之時脈與資料回復電路設計與實現 47
4.1 電路架構 47
4.2 系統分析 49
4.2.1 頻率資訊鎖相迴路系統分析 49
4.2.2 時脈與資料回復電路系統分析 52
4.3 操作說明 58
4.3.1 高速傳輸下之非理想效應 58
4.3.1.1 抖動量佔資料位元週期之比例 58
4.3.1.2 資料訊號低轉態密度對二進位相位偵測器之影響 59
4.3.1.3 有迴路延遲下時脈與資料回復電路之追鎖情況與分析 60
4.3.2 相位追蹤補償相位偵測器分析 62
4.3.3 極限追鎖下相位偵測器輸出最小脈波寬度之比較 63
4.4 行為模擬 65
4.5 子電路介紹 67
4.5.1 半速率二進位相位偵測器 67
4.5.2 相位追蹤補償相位偵測器 70
4.5.3 利用電容放大技術之迴路濾波器 72
4.5.4 相位頻率偵測器 73
4.5.5 電荷幫浦 74
4.5.6 電壓控制振盪器 76
4.5.7 除頻器 78
4.5.8 擺幅轉換電路 79
4.6 模擬結果 79
4.6.1 操作在5 GHz之鎖相迴路模擬 80
4.6.1.1 佈局前模擬 80
4.6.1.2 佈局後模擬 81
4.6.1.3 閉迴路相位雜訊模擬 82
4.6.2 操作在10 Gbps之半速率時脈與資料回復電路模擬 83
4.6.2.1 佈局前模擬 83
4.6.2.2 佈局後模擬 85
4.6.3 抖動容忍度模擬 87
第5章 晶片佈局與量測 89
5.1 電路佈局 89
5.1.1 晶片封裝 90
5.1.2 佈局與電源規劃 92
5.2 量測考量 93
5.2.1 量測環境 93
5.2.2 印刷電路板 94
5.2.3 高頻輸出緩衝器 95
5.2.4 低頻輸出緩衝器 96
5.2.5 高頻輸入端 97
5.3 晶片與印刷電路板照相 98
5.4 量測結果 99
5.4.1 頻率資訊鎖相迴路量測 99
5.4.2 時脈與資料回復電路量測 101
5.4.2.1 相位追蹤補償相位偵測器 101
5.4.2.2 傳統二進位相位偵測器 102
5.4.3 量測結果分析 103
5.4.4 抖動容忍度量測 104
5.5 規格比較表 106
第6章 結論 109
6.1 結論 109
6.2 未來研究方向 – 動態最佳化抖動容忍度調整 110
參考文獻 111
參考文獻 [1] Universal Serial Bus Specification, Revision 3.1, USB-IO, 2013.
[2] PCI Express® Base Specification, Revision 2.1, PCI-SIG, 2010.
[3] Serial ATA International Organization, Serial ATA Revision 3.0, SATA-IO, 2009.
[4] Behzad Razavi, Design of Integrated Circuits for Optical Communications. McGraw-Hill: Behzad Razavi, 2003.
[5] 吳彥學, “應用於PCI Express Generation II之5-Gb/s無電感式類比等化器的設計與實現,” 碩士論文, 國立中央大學, 2009.
[6] A. X. Widmer, and P. A. Franaszek,”A DC-balanced, partitioned-block, 8b/10b transmission code,” IBM J. Res and Develop., vol. 27, pp. 440-451, Sep. 1983.
[7] Tektronix, “數位示波器的應用抖動(jitter)測量”.
[8] Behzad Razavi, Design of analog CMOS integrated circuits, McGraw-Hill, 2001.
[9] SHF Communication Technologies AG, “Application note AN-jitter-1-jitter analysis using SHF 10000 series bit error rate testers,” 2005.
[10] Agilent Technologies, “Measuring jitter in digital systems,” Application Note 1448-1.
[11] L. Luo, J. Wilson, S. Mick, J. Xu, L. Zhang, E. Erickson, and P. Franzon, “A 36 Gb/s ACCI mutli-channel bus using a fully differential pulse receiver,” in Proc. IEEE Custom Integrated Circuits Conf., pp. 773–776, Sep. 2006.
[12] Maxim, “Choosing AC-coupling capacitors,” Application Note: HFAN-1.1, 2000.
[13] Agilent Technologies, “Finding sources of jitter with real-time jitter analysis,” 2008.
[14] STMicroelectronics, “Improving a jitter definition,” 2007.
[15] Tektronix, “Understanding and characterizing timing jitter”.
[16] Altera Corporation, “Deterministic Jitter (DJ) Definition and Measurement,” 2009.
[17] Maxim, “Optical receiver performance evaluation”.
[18] 高曜煌, 射頻鎖相迴路IC設計, 滄海書局, 2005.
[19] D. B. Lesson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, pp. 329-330, Feb. 1966.
[20] A. Hajimiri, T. H. Lee, The design of low noise oscillators, Kluwer Academic Publishers, 1999.
[21] A. Hajimiri, and T. H. Lee, “A General Theory of Phase Noise in Electrical Oscillators,”IEEE J. Solid-State Circuits , vol. 33 , no. 2 , pp. 179-194 , Feb. 1998.
[22] Ali Hajimiri, Sotirios Limotyrakis, and Thomas H. Lee, “Jitter and Phase Noise in Ring Oscillators ,”IEEE J. Solid-State Circuits , vol. 34 , no. 6 , pp. 970-804 , Jun. 1999.
[23] 孫世洋, “以符碼間干擾技術實現自適應等化器之5 Gbps半速率時脈與資料回復電路,” 碩士論文, 國立中央大學, 2016.
[24] H. J. Jeon, R. Kulkarni, Y. C. Lo, J. Kim, and S. M. Jose, “A bang-bang clock and data recovery using mixed mode adaptive loop gain strategy,” IEEE J. Solid-State Circuits, vol. 48, no. 6, pp. 1398–1415, Jun. 2013.
[25] K. S. Kwak, and O. K. Kwon, “Power-reduction technique using a single edge-tracking clock for multiphase clock and data recovery circuit ,”IEEE Trans. Circuits Syst. II, Express Briefs , vol. 61 , no. 4 , pp. 239–243 , Apr. 2014.
[26] 劉深淵, 楊清淵, 鎖相迴路, 滄海書局, 2006.
[27] W.-Y. Lee, K.-D. Hwang, and L.-S. Kim, “A 5.4/2.7/1.62-Gb/s receiver for DisplayPort version 1.2 with multi-rate operation scheme,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 12, pp. 2858–2866, Nov. 2012.
[28] D. Dalton, K. Chai, E. Evans, M. Ferriss, D. Hitchcox, P. Murray, S. Selvanayagam,P. Shepherd, and L. DeVito, “12.5-Mb/s to 2.7-Gb/s continuous-rate CDR with automatic frequency acquisition and data-rate readback,” IEEE J. Solid-StateCircuits, vol. 40, no. 12, pp. 2713–2725, Dec. 2005.
[29] X. Maillard, F. Devisch, and M. Kuijk, “A 900-Mb/s CMOS data recovery DLL using half-frequency clock,” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp.711–715, Jun. 2002.
[30] J. Kim, and D.-K. Jeong, “Multi-gigabit-rate clock and data recovery based on blind oversampling,” IEEE Commun. Mag., vol. 41, pp. 68–74, Dec. 2003.
[31] Ruiyuan, and G. S. La Rue, “Fast acquisition clock and data recovery circuit with low jitter,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp.1016–1024, May. 2006.
[32] M. Nogawa, K. Nishimura, S. Kimura, T. Yoshida, T. Kawamura, M.Togashi, K.Kumozaki, and Y. Ohtomo, “A 10Gb/s burst-mode CDR IC in 0.13um CMOS,”in IEEE Int. Solid-State Circuit Conf. Dig. Tech.Papers, pp. 228–229, Feb.2005.
[33] W. Yin, R. Inti, A. Elshazly, M. Talegaonkar, B. Young, and P. K. Hanumolu, “A TDC-less 7 mw 2.5 Gb/s digital CDR with linear loop dynamics and offset-free data recovery,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3163–3173, Dec. 2011
[34] J. Lee, K. S. Kundert, and B. Razavi, “Analysis and modeling of bang-bang clock and data recovery circuits,” IEEE J. Solid-State Circuits, Express Briefs, vol. 39, no. 9, pp. 1571–1580, Sep. 2004.
[35] M. Brownlee, P. K. Hanumolu, and U. K. Moon, “A 3.2 Gb/s oversampling CDR with improved jitter tolerance,” in Proc. IEEE Custom Integrated Circuits Conf., pp. 353–356, 2007.
[36] D. H. Kwon, Y. S. Park, and W. Y. Choi, “A clock and data recovery circuit with programmable multi-level phase detector characteristics and a built-in jitter monitor,” IEEE Trans. Circuits Syst. I, Regular Papers , vol. 62 , no. 6 , pp. 1472–1480 , June 2015.
[37] Y. L. Lee, S. J. Chang, R. S. Chu, Y. Z. Lin, Y. C. Chen, G. J. Ren, and C. M. Huang, “A 5 Gb/s 1/4-rate clock and data recovery circuit using dynamic stepwise bang-bang phase detector,” in Proc. IEEE Asian Solid-State Circuit Conf., pp. 141–144, Nov. 2012.
[38] 陳廷宗, “具自適應增益調整之時脈與資料回復電路,” 碩士論文, 國立中央大學, 2015.
[39] 鄭柏旻, “具電容放大技術和自適應迴路增益控制器之5 Gbps雙路徑時脈與資料回復電路,” 碩士論文, 國立中央大學, 2017.
[40] Integrated Device Technology Inc, “RMS Phase Jitter,’’ Aplication Note, AN-839, 2014.
[41] B. Wicht, T. Nirschl and D. Schmitt-Landsiedel, “Yield and speed optimization of a latch-type voltage sense amplifier,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1148–1158, Jul 2004
[42] B. Nikolic, V. G. Oklobdˇzija, V. Stojanovic, W. Jia, J. K. S. Chiu, and M. M. T. Leung, “Improved sense-amplifier-based flip-flop: design and measurements,” IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 876–884, Jun 2000.
[43] G. Shu, W.-S. Choi, S. Saxena, M. Talegaonkar, T. Anand, A. Elkholy, A. Elshazly and P. K. Hanumolu, “A 4-to-10.5 Gb/s continuous-rate digital clock and data recovery with automatic frequency acquisition,” IEEE J. Solid-State Circuits, vol. 51, no. 2, pp. 428–439, Feb 2016.
[44] T. Lee, Y. H. Kim, and L. S. Kim, “A 5-Gb/s digital clock and data recovery circuit with reduced DCO supply noise sensitivity utilizing coupling network,” IEEE Trans. Very Large Scale Integration Syst., vol. 25, no. 1, pp. 380–384, Jan. 2017.
[45] A. M. Fahim, “A compact, low-power low-jitter digital PLL ,” in Proc. IEEE European Solid-State Circuit Conf., pp. 101–104, Sep. 2003
指導教授 鄭國興(Kuo-Hsing Cheng) 審核日期 2018-7-16
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明