博碩士論文 106521035 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:36 、訪客IP:18.224.32.86
姓名 陳宇嫻(Yu Hsien Chen)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 以基本類比電路架構為基礎的佈局自動化 工具
(An Analog Layout Generator with Structure-Based Methodology)
相關論文
★ 可保留設計風格及繞線行為之類比佈局遷移技術★ 實現類比電路仿真的波動數位濾波器架構生成與模擬
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 現今的類比電路佈局大多還是靠人工的方式產生,為了要加速類比電路設計的速度,近年來類比電路自動化是一項熱門的研究,不過由於類比電路的敏感性,如何設定許多的佈局限制來減少非理想效應的發生顯得非常的重要,但是在目前的設計流程中,大多數佈局限制都還是要靠設計者手動給定,需要花費大量的設計時間,使用以模板為基礎(template-based)的方式是個自動化考慮設計限制的好方法,不過有新的設計或新的製程時,都需要重新做調整與設計,因此,一個整合了擺置、繞線以及生成佈局限制的佈局自動化工具應該可以有效地縮短設計時間。
本論文中提出一個以基本類比電路架構為單位的類比電路佈局自動化流程,該方法從結構分析開始,將類比電路自動的畫分成數個基本電路模塊,有助於減少對設計者輸入的依賴,並為後續的佈局生成相對應的佈局限制,在架構分析的協助下,本論文提出的佈局流程可自動生成相對的佈局模塊,以及完成所有的擺置跟繞線,並能自動將適當的佈局線至納入考量。最後在實驗結果的部分,本論文提出的流程可以準確的生成電路所需的佈局,不需要使用者太多的幫助,並且仍然將佈局後的性能保持在設計規格之內。
摘要(英) Currently, the layouts of analog circuits are often generated manually. In order to speed up analog design cycles, analog layout automation is a popular research in recent years. Due to the sensitivity of analog circuits, it is important to consider non-ideal effects in design stage by setting proper layout constraints. However, most of the layout constraints are given manually in current design flow, which requires lots of time. Template-based layout generation is a possible approach to consider the design constraints automatically, but considerable development efforts are required for each new design or technology. Therefore, an integrated layout automation tool including placement, routing and constraint generation could be helpful to reduce design time.
This thesis proposes a structure-based methodology for analog layout generation. This methodology starts from a structure analysis that divides the circuit netlist into several building blocks automatically. It can help to reduce the dependence on users’ input and generate corresponding design constraints for the succeeding layout steps. With the help from structure analysis, the layouts of those analog structures are generated, placed, and routed automatically with proper constraints. As shown in the demo cases, the proposed flow is able to generate the required layout accurately without users’ intervention and still keeps the post-layout performance within specifications.
關鍵字(中) ★ 佈局自動化
★ 類比電路
關鍵字(英)
論文目次 摘要 i
Abstract ii
致謝 iii
目錄 iv
圖目錄 vi
表目錄 ix
第1章 緒論 1
1-1 類比電路自動化的挑戰 1
1-2 研究動機 3
1-3 問題定義 5
1-4 論文結構 6
第2章 背景知識 7
2-1 寄生效應 7
2-1-1 電晶體內部寄生效應 8
2-1-2 導線上的寄生效應 9
2-2 類比電路擺置考量 10
1-1-1 匹配 (Matching) 10
2-2-1 對稱 (Symmetry) 11
2-2-2 鄰近 (Proximity) 12
2-3 類比電路繞線考量 13
2-3-1 考慮線長匹配 14
2-3-2 減少繞線金屬層換層數 15
2-4 參數化元件(Parameterized Cell) 17
2-4-1 Template-driven P-Cell 17
2-4-2 Laker M-Cell 18
第3章 自動化整合流程 20
3-1 整合流程介紹 20
3-2 電路架構分析 21
3-3 佈局模塊的產生 23
3-4 佈局擺放優化演算法 25
3-4-1 B*-Trees 26
3-4-2 階級式B*-Trees 27
3-4-3 三階B*-Trees 29
3-5 繞線負載優化演算法 30
3-5-1 繞線路徑合法化 31
3-5-2 考量導線負載的線層分配 34
3-6 佈局自動化產生工具 36
3-6-1 元件擺放位置計算 36
3-6-2 電路繞線路徑計算 38
3-6-3 Laker命令描述語言產生器 39
第4章 實驗結果及分析 43
4-1 實驗環境與電路 43
4-2 電流鏡運算放大器實驗結果 45
4-3 疊接運算放大器實驗結果 50
第5章 結論與未來展望 56
參考資料 57
參考文獻 [1] R. A. Rutenbar, “Design automation for analog: the next generation of tool challenges”, in Proc. ICCAD, 2006, pp 458-460.
[2] J. Scheible and J. Lienig “Automation of Analog IC Layout Challenges and Solutions” Proc, International Symposium on Physical Design, pp.33-40, 2015
[3] H. Graeb, F. Balasa, R. Castro-Lopez, Y.-W. Chang, F.V. Fernandez, P.-H. Lin, M. Strasser, “Analog Layout Synthesis -Recent Advances in Topological Approaches,” in Proc. DATE, pp. 274-279, Apr. 2009.
[4] Y.-P. Weng, H.-M. Chen, T.-C. Chen, P.-C. Pan, C.-H. Chen, W.-Z. Chen, “Fast Analog Layout Prototyping for Nanometer Design Migration”, in Proc. ICCAD, pp. 517-522, Nov. 2011.
[5] P.-Y. Chou, H.-C. Ou, Y.-W. Chang, “Heterogeneous B*-trees for Analog Placement with Symmetry and Regularity Considerations,” in Proc. ICCAD, pp. 512-516, 2011
[6] L. Xiao, E.F.Y. Young, X. He, K.P. Pun, “Practical Placement and Routing Techniques for Analog Circuit Designs”, in Proc. ICCAD, pp. 675-679, 2010.
[7] M.-K. Hsu, S. Chou, T.-H. Lin, Y.-W. Chang, “Routability-driven analytical placement for mixed-size circuit designs”, in Proc. ICCAD, pp. 517-522, 2011
[8] C.-W. Lin, C.-C. Lu, J.-M. Lin, and S.-J. Chang, “Routability-driven Placement Algorithm for Analog Integrated Circuits,” in Pro., ISPD, pp.71-78,2012
[9] H.-C. Ou, H.-C. Chang Chien, Y.-W. Chang, "Non-uniform multilevel analog routing with matching constraints", in Proc. DAC, pp. 549-554, Jun. 2012
[10] H. Yao, Y. Cai, and Q. Gao, “LEMAR: A novel length matching routing algorithm for analog and mixed signal circuits,” in Proc. ASP-DAC, pp. 157–162. Feb. 2012,
[11] M. Ozdal and R. Hentschke, “Algorithms for Maze Routing with Exact Matching Constraints,” IEEE Trans. on Computer-Aided Design, vol. 33, no. 1, pp. 101-112, 2014.
[12] H.-Y. Chi, H.-Y. Tseng, C.-N. J. Liu, H.-M. Chen, “Performance-Preserved Analog Routing Methodology via Wire Load Reduction,” in Proc. ASP-DAC, Jan. 2018.
[13] P.-H. Lin, Y.-W. Chang, and S.-Ch. Lin, “Analog Placement Based on Symmetry-Island Formulation,” IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., pp. 791-804, June 2009.
[14] P.-H. Lin and S.-Ch. Lin, “Analog Placement Based on Hierarchical Module Clustering,” Proc. Design Automation Conference, pp. 50–55, 2008.
[15] H. E. Graeb. “ITRS 2011 Analog EDA Challenges and Approaches,” in Design, Automation & Test in Europe. Mar. 2012.
[16] Pradeep Kumar Chawda, “A Simplified Methodology for Complex Analog Module Layout Generation,” in Proc. ISQED, 2018
[17] Online resources, Electronic Design Automation. Course Outline, from https://slideplayer.com/slide/6642058/
[18] H. Habal and H. Graeb, “Constraint-Based Layout-Driven Sizing of Analog Circuits,” IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., vol.30, no.8. pp.1089-1102, Aug. 2011
[19] 樓禹慷, “自動辨識混合訊號電路中數位區塊之方法”, 中央大學碩士論文, June 2016
[20] Mentor Graphic® Caliber®, http://www.mentor.com
[21] J. Bastos, M. Steyaert, B. Graindourze, W. Sansen, “Matching of MOS Transistors with Different Layout Styles,” IEEE Int’l Conf. on Microelectronic Test Structures, pp. 17-18, Mar. 1996.
[22] M. Dessouky and M.-M. Louerat. “A Layout Approach for Electrical and Physical Design Integration of High-Performance Analog Circuits”, in Proc. Int’l Symp. on Quality Electronic Design, pp. 291–298, 2000.
[23] Ch.-W. Lee, H.-Y. Tseng, Ch.-L. Kuo, “Layout placement optimization with isolation rings for high-voltage VLSI circuits”, International Symposium on VLSI Design, Automation and Test (VLSI-DAT), 2017.
[24] Y.-Ch. Chang, Y.-W. Chang, G.-M. Wu, and Sh.-W. Wu, “B*-Trees: A New Representation for Non-Slicing Floorplans,” Proc. Design Automation Conference, pp. 458-463, 2000.
[25] LakerTM from Synopsys, http://www.synopsys.com
[26] Synopsys® Hspice®, http://www.synopsys.com
[27] Y.C. Liao, “A Layout-Aware Analog Synthesis Environment on Laker”, MS. Thesis, National Central University, Taiwan, June 2013
[28] H. E. Graeb, “Analog Layout Synthesis: A Survey of Topological Approaches,” Springer, Berlin, 2010
[29] Naveed A. Sherwani, “Algorithms for VLSI Physical Design Automation,” Springer, Boston, Mar,1999
[30] Lee, “An algorithm for path connection and its application,” IRE Trans. Electronic Computer, EC-10, 1961
[31] P. E. Hart, N. J. Nilsson, B. Raphael, "A Formal Basis for the Heuristic Determination of Minimum Cost Paths". IEEE Trans. on Systems Science and Cybernetics SSC4. 4 (2): 100–107. doi:10.1109/TSSC.1968.
[32] Y.-Hs. Chen, H.-Y. Chi, L.-Y. Song, C.-N. J. Liu, H.-M. Chen, “A Structure-Based Methodology for Analog Layout Generation,” in Proc. SMACD, Jul. 2019.
指導教授 周景揚 劉建男 審核日期 2019-8-19
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明