博碩士論文 109521129 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:103 、訪客IP:3.16.51.137
姓名 王啓旭(Chi-Hsu Wang)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 8T 靜態隨機存取記憶體之內積運算引擎的老化威脅緩解策略: 從架構及運算角度來提出解決的方法
(Relieving Aging Threats on 8T-SRAM Dot-Product Engine: Approaches from Structural and Operational Perspectives)
相關論文
★ 晶圓圖之網格及稀疏缺陷樣態辨識★ 晶圓圖提取特徵參數錯誤樣態分析
★ 使用聚類過濾策略和 CNN 計算識別晶圓圖瑕疵樣態★ 新建晶圓圖相似性門檻以強化相似程度辨別能力
★ 一個可靠的靜態隨機存取記憶體內運算結構: 設計指南與耐老化策略研究★ 一個高效的老化偵測器部屬策略: 基於生成對抗網路的設計方法
★ 考慮電壓衰退和繞線影響以優化電路時序之電源供應網絡精煉策略★ 適用於提高自旋轉移力矩式磁阻隨機存取記憶體矩陣可靠度之老化偵測與緩解架構設計
★ 用於響應穩定性的老化感知平行掃描鏈PUF設計★ 8T靜態隨機存取記憶體運算的老化檢測和容忍機制:適用於邏輯和 MAC 運算的應用
★ 使用擺置後的設計特徵及極限梯度提升演算法預測繞線後的繞線需求
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2027-9-23以後開放)
摘要(中) 范紐曼架構 (von Neumann architecture, VNA) 是現今大多數數位運算的基本架構,它將運算單元跟儲存單元分開,但這個架構在遇到需要相當大量資料運算應用的時候,例如影像處理或是密碼學運算,會有大量的資料在運算單元及儲存單元之間密集的傳輸,這會因為頻寬的限制而導致著名的
范紐曼瓶頸。記憶體內運算 (Computing in-memory, CIM),已經被認為是其中一個非常有效率解決范紐曼瓶頸的方法,透過直接在記憶體內就進行運算,來省去大量的資料傳輸。在過去的研究中,已經有許多記憶體內運算的架構被提出,其中過去的研究者提出了以靜態隨機存取記憶體 (Static Random Access Memory, SRAM) 為基礎,使用 8T SRAM 的架構同時藉由類比電壓的充放電來完成多位元的點積運算架構及運算方法。此架構雖然能成功進行點積運算,但這樣的設計對於 IC 製程、電壓、溫度等變因 (PVT variation) 及老化效應 (Aging Effects) 這些因素非常敏感,這裡提到的老化效應包括偏壓不穩定性 (Bias Temperature Instability,BTI) 及熱載子注入效應 (Hot Carrier Injection, HCI)。為了提供一個可靠的CIM 多位元點積運算架構,在本篇論文中,我們提出一個考慮老化的 CIM老化偵測及兩個透過進行架構上及運算環境上的調整而達成的抗老化方式的策略指南。我們對記憶體使用動態電壓調整 (Dynamic Voltage Scaling, DVS)及補充電阻 (Supplemental Resistor, SR)兩個方式去補償因老化而下降的電流。實驗結果顯示我們所提出的方法可以使得系統維持運作的正確性且在消耗 1.13 倍的能量下壽命可以延長為兩倍。
摘要(英) Nowadays, von Neumann architecture (VNA) has been considered as the fundamental architecture of nearly all digital computers, and the separated computing logic and the storage area is a characteristic of von Neumann architecture. In the data-intensive applications such as image recognition or
cryptography computations, large amount of data is transferred between memory and the computing cores, which causes a well-known von Neumann bottleneck due to the limitation of communication bandwidth.Computing In-Memory (CIM), which directly performs in-situ operations at
memory, has been considered as one of the promising solutions to overcome von Neumann bottleneck. There are lots of CIM structures have been proposed and studied. Previous researchers have proposed an 8T SRAM based CIM architecture
to perform multi-bit dot product computations by analog charging/discharging operations.However, such a structure is very sensitive to process variations as well as aging effects such as Bias Temperature Instability (BTI) and/or Hot Carrier Injection (HCI). In order to overcome the influence of process variations and aging effects, in this paper we propose an aging-aware computing in-memory
framework which consists of an aging detection method and two aging tolerance techniques. Specifically, we apply Dynamic Voltage Scaling (DVS) and Supplemental Resistor (SR) on CIM structure to compensate the current drop due
to aging effects. Experimental results show that we can maintain the accuracy of operation and double the system lifetime with only 1.13x power consumption in
average.
關鍵字(中) ★ 記憶體內運算
★ 正偏壓溫度不穩定性
★ 熱載子注入效應
★ 補充電阻
★ 靜態隨機存取記憶體
★ 抗老化方式
關鍵字(英) ★ computing in-memory
★ PBTI
★ HCI
★ Supplemental Resistor
★ SRAM
★ Aging Tolerance Method
論文目次 Table of Contents
摘要.................................................................................................................i
Abstract..........................................................................................................iii
致謝...............................................................................................................iv
Table of Contents...........................................................................................vi
Table of Figures...........................................................................................viii
Table of Tables................................................................................................ x
Chapter 1 Introduction................................................................................ 1
1.1 Bottleneck of Conventional Von-Neumann Architecture ................ 1
1.2 Computing In-memory..................................................................... 2
1.3 Reliability and Robustness............................................................... 6
1.4 Contributions.................................................................................... 6
Chapter 2 Preliminaries.............................................................................. 9
2.1 Basic Operation of 6T SRAM.......................................................... 9
2.2 8T SRAM Computing In-memory ................................................. 10
2.3 Aging Effect and Aging Model ...................................................... 12
2.4 Aging Estimation Under Different Operating Voltages ................. 14
2.5 Aging Effect on 8T SRAM Computing In-Memory Architecture . 15
Chapter 3 Problem Formulation............................................................... 17
Chapter 4 Framework............................................................................... 18
4.1 Derive the Precise Linear Region................................................... 18
vii
4.2 Overview of Aging-aware Operation Framework.......................... 19
4.3 Aging Detection Method ................................................................ 20
4.4 Aging Tolerance Method with Dynamic Voltage Scaling.............. 23
4.5 Aging Tolerance Method with Supplemental Resistor................... 26
4.6 Summaries for Design Guidelines ................................................. 31
Chapter 5 Experimental Results............................................................... 32
5.1 Derive the Precise Linear Region................................................... 32
5.2 Aging Tolerance Method with Dynamic Voltage Scaling.............. 34
5.3 Aging Tolerance Method with Supplemental Resistor................... 37
Chapter 6 Conclusions.............................................................................. 41
參考文獻 References
[1] A. Boroumand, et al., “Google Workloads for Consumer Devices:
Mitigating Data Movement Bottlenecks” in Proc. of International
Conference on Architectural Support for Programming Languages and
Operating Systems (ASPLOS), pp. 316- 331, 2018
[2] A. Agrawal, A. Jaiswal, C. Lee, and K. Roy, “X-SRAM: Enabling InMemory Boolean Computations in CMOS Static Random Access
Memories,” IEEE Transactions on Circuits and Systems (TCAS-I), vol.65,
issue 12, pp. 4219-4232, 2018
[3] Mustafa Ali, Akhilesh Jaiswal, Sangamesh Kodge, Amogh Agrawal,
Indranil Chakraborty, and Kaushik Roy. IMAC: In-Memory Multi-Bit
Multiplication and ACcumulation in 6T SRAM Array. IEEE Transactions
on Circuits and Systems I: Regular Papers, 2020.
[4] A. Jaiswal, I. Chakraborty, A. Agrawal and K. Roy, "8T SRAM Cell as a
Multibit Dot-Product Engine for Beyond Von Neumann Computing," in
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.
27, no. 11, pp. 2556- 2567, Nov. 2019
[5] H. Kim, T. Kim, S. Manisankar and Y. Chung, “Read disturb-free SRAM
bit-cell for subthreshold memory applications,” in Proc. of International
Conference on Electron Devices and Solid-State Circuits (EDSSC), pp. 1-
2, 2017
[6] W. Simon, et al., “A Fast, Reliable and Wide-Voltage-Range In-Memory
Computing Architecture,” in Proc. of 56th ACM/IEEE Design Automation
Conference (DAC), 2019
[7] W. Chang, Y. -G. Chen, P. -Y. Huang and J. -F. Li, "An Aging-Aware CMOS
SRAM Structure Design for Boolean Logic In-Memory Computing," 2021
IEEE International Symposium on Defect and Fault Tolerance in VLSI and
Nanotechnology Systems (DFT), 2021, pp. 1-4, doi:
43
10.1109/DFT52944.2021.9568343.
[8] E. Afacan, M. Berke Yelten and G. Dündar, "Review: Analog design
methodologies for reliability in nanoscale CMOS circuits," 2017 14th
International Conference on Synthesis, Modeling, Analysis and Simulation
Methods and Applications to Circuit Design (SMACD), 2017, pp. 1-4, doi:
10.1109/SMACD.2017.7981608.
[9] S. Mukhopadhyay, et al., “A Comparative Study of NBTI and PBTI Using
Different Experimental Techniques,” in Proc. of IEEE Transactions on
Electron Devices, vol. 63, no. 10, pp. 4038-4045, 2016
[10] G. Rzepa, et al., “Efficient physical defect model applied to PBTI in highκ stacks,” in Proc. of IEEE International Reliability Physics Symposium
(IRPS), pp. XT-11.1-XT-11.6, 2017
[11] M. Karimi, N. Rohbani and S. Miremadi, “A Low Area Overhead
NBTI/PBTI Sensor for SRAM Memories,” in Proc. of IEEE Transactions
on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 11, pp. 3138-
3151, 2017
[12] B. Tudor et al., "MOSRA: An efficient and versatile MOS aging modeling
and reliability analysis solution for 45nm and below," 2010 10th IEEE
International Conference on Solid-State and Integrated Circuit Technology,
2010, pp. 1645-1647, doi: 10.1109/ICSICT.2010.5667399.
[13] Y. -G. Chen, I. -C. Lin and J. -T. Ke, "ROAD: Improving Reliability of
Multi-core System via Asymmetric Aging," 2019 IEEE/ACM International
Conference on Computer-Aided Design (ICCAD), 2019, pp. 1-8, doi:
10.1109/ICCAD45719.2019.8942178.
[14] M. Basoglu, et al., “NBTI-Aware DVFS: A New Approach to Saving
Energy and Increasing Processor Lifetime,” In Proc. Of ACM/IEEE
international symposium on Low power electronics and design (ISLPED),
pp. 253-258, 2010.
44
[15] L. Zhang and R. P. Dick, “Scheduled Voltage Scaling for Increasing
Lifetime in the Presence of NBTI,” In Proc. Of Asia and South Pacific
Design Automation Conference (ASP-DAC), pp. 492-497, 2009.
[16] C. Guo, L. Zhang, X. Zhou, W. Qian and C. Zhuo, "A Reconfigurable
Approximate Multiplier for Quantized CNN Applications," 2020 25th Asia
and South Pacific Design Automation Conference (ASP-DAC), 2020, pp.
235-240, doi: 10.1109/ASP-DAC47756.2020.9045176
[17] Continuous Probability Distribution – Sampling and Distribution [online]
Available at:web.cjcu.edu.tw/~jdwu/stat01/lect002.pdf
[Accessed 22th July 2022]
[18] Anno. Op-amp Comparator - Electronics Tutorials [online] Available at:
www.electronics-tutorials.ws/opamp/op-amp-comparator.html
[Accessed 22th July 2022]
[19] Y. Morita et al., "An Area-Conscious Low-Voltage-Oriented 8T-SRAM
Design under DVS Environment," 2007 IEEE Symposium on VLSI
Circuits, 2007, pp. 256-257, doi: 10.1109/VLSIC.2007.4342741.
[20] R. Jain and S. Sanders, "A 200mA switched capacitor voltage regulator on
32nm CMOS and regulation schemes to enable DVFS," Proceedings of the
2011 14th European Conference on Power Electronics and Applications,
2011, pp. 1-10.
[21] P. -C. Wu, Y. -P. Kuo, C. -S. Wu, C. -T. Chuang, Y. -H. Chu and W. Hwang,
"PVT-aware digital controlled voltage regulator design for ultra-low-power
(ULP) DVFS systems," 2014 27th IEEE International System-on-Chip
Conference (SOCC), 2014, pp. 136-139, doi:
10.1109/SOCC.2014.6948914
指導教授 陳聿廣 審核日期 2022-9-23
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明