博碩士論文 109521121 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:64 、訪客IP:3.21.106.240
姓名 李宗穎(Tzong-Ying L)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 用於響應穩定性的老化感知平行掃描鏈PUF設計
(Aging-aware Parallel Scan-Chain PUF Design for Stability of Responses)
相關論文
★ 晶圓圖之網格及稀疏缺陷樣態辨識★ 晶圓圖提取特徵參數錯誤樣態分析
★ 使用聚類過濾策略和 CNN 計算識別晶圓圖瑕疵樣態★ 新建晶圓圖相似性門檻以強化相似程度辨別能力
★ 一個可靠的靜態隨機存取記憶體內運算結構: 設計指南與耐老化策略研究★ 一個高效的老化偵測器部屬策略: 基於生成對抗網路的設計方法
★ 考慮電壓衰退和繞線影響以優化電路時序之電源供應網絡精煉策略★ 適用於提高自旋轉移力矩式磁阻隨機存取記憶體矩陣可靠度之老化偵測與緩解架構設計
★ 8T 靜態隨機存取記憶體之內積運算引擎的老化威脅緩解策略: 從架構及運算角度來提出解決的方法★ 8T靜態隨機存取記憶體運算的老化檢測和容忍機制:適用於邏輯和 MAC 運算的應用
★ 使用擺置後的設計特徵及極限梯度提升演算法預測繞線後的繞線需求
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2027-12-1以後開放)
摘要(中) 物理不可仿製功能
Physical Unclonable Function, PUF) 是一種被廣泛研究於硬體
安全的技術。透過 PUF 產生器,我們期望獲得不可仿製且無法預測的響應 Responses
並根據不同 應用場景 作為電路唯一的身分辨識 IDs 或密鑰 Secret Keys 。 在硬體上 PUF產生器包含很多種類,例如仲裁器 Arbiter) PUF, 環形震盪器 (Ring-Oscillator) PUF,
SRAM PUF, 等等。
大部分的
PUF 產生器是需要額外的電路實現且獨立於原始電路。它的額外電路不僅
使其容易受到移除攻擊 Removal Attack)),而且還會產生高昂的資源消耗。為了避免上
述缺點,有 文獻 提出了基於延遲 Delay-based 的“平行掃描 PUF Parallel Scan-Chain PUF))”來解決這些問題。它可以通過比較掃描鏈 Scan-Chain 的兩條不同路徑的延遲來
實現,這是一種建立在原始電路上的標準 DFT結構。然而這種 PUF 在兩個掃描觸發器
之間的不同條件下會受到老化效應的嚴重影響,例如 NBTI、 PBTI 和 HCI。 這些將導
致原始響應被翻轉並最終導致錯誤,從而 造成 錯誤更正碼 ( 有較高 的複雜性和開銷。
在本
論 文中,我們提出了一種克服老化影響的方法。為了達到預期的目標,我們使
用提出的老化 補償器 Aging Compensator 來相互抵消老化造成的延遲。實驗結果表明,
所提出的老化元件可以防止響應被逆轉 並且可以提高可靠度 Reliability 。
摘要(英) Physical Unclonable Function (PUF) has been widely researched as potential security primitive. For applications in the field of hardware security, we expect to obtain the unclonable and unexpected responses that usually served as secret keys or unique IDs in various application scenarios. There are many kinds of PUF for the hardware circuitry, such as Arbiter PUF, Butterfly PUF, Ring-Oscillator PUF, SRAM PUF, Parallel Scan-Chain PUF, and so on.
Most existing PUF designs are independent of the original circuit. The extra circuitry for the PUF not only makes it vulnerable to removal attack but also causes high resource overhead. To prevent the above disadvantages, delay-based “Parallel Scan-Chain PUF” is proposed to solve these problems. It is implemented with an arbiter to compare the delay of two different paths of the Scan-Chain, which is a standard DFT structure built on the original circuit. However, this kind of PUF will be severely affected by aging effects, such as NBTI, PBTI, and HCI, due to the conditions of different inputs between two Scan Flip-Flop in normal mode. These will cause the original response to be flipped and eventually lead to errors, resulting in high Error Correction Code (ECC) complexity and overhead.
In this thesis, it is proposed a novel method to overcome the effects of aging and enhance reliability. To achieve the desired goal, we analyze the structure of Parallel Scan-Chain PUF and find out the possible causes of error due to aging effects. Next, we use the proposed aging compensator to mutually offset the delay between two different Scan Flip-Flops after aging. Also, we combine the signal gating for the arbiter can not only prevent it from unbalanced aging effects but also save power consumption. Experimental results show that the proposed method can reduce the responses from an average error rate of 39.96% to less than 7.5% within 10 years. Moreover, with the complexity of ECC reduced, it offers ~8x overhead reduction for the BCH encoder and decoder.
關鍵字(中) ★ 物理不可仿製功能
★ 平行掃描鍊
★ 老化效應
★ 可測試性設計
關鍵字(英) ★ Physical unclonable function
★ Parallel Scan-Chain
★ Aging Effects
★ Design for testing
論文目次 摘要 II
Abstract III
致謝 IV
Table of Contents V
Table of Figures VII
Table of Table IX
Chapter 1 Introduction and Background 1
1.1 Physical Unclonable Function (PUF) 1
1.2 PUFs Taxonomy 2
1.3 Quality Analysis of PUF 4
1.3.1 Uniqueness Analysis 4
1.3.2 Reliability Analysis 5
1.3.3 Randomness Analysis 6
1.4 Contribution 7
Chapter 2 Preliminaries 8
2.1 Parallel Scan-Chain PUF 8
2.2 Aging Effects 11
2.2.1 Negative Bias Temperature Instability (NBTI) 11
2.2.2 Positive Bias Temperature Instability (PBTI) 12
2.2.3 Hot Carrier Injection (HCI) 14
Chapter 3 Framework 15
3.1 Analysis of Aging Effects on A Pair of SFFs 16
3.2 Aging Compensator for Parallel Scan-Chain PUF 20
3.3 Analysis of Aging Effects on Arbiter 23
3.4 Signal Gating for Arbiter 28
Chapter 4 Experiment Results 29
4.1 Uniqueness, Randomness, and Reliability 29
4.2 Experimental Results of Aging Awareness 31
Chapter 5 Conclusions 34
References 35
參考文獻 [1] Kaveh Shamsi and Yier Jin, “Security of Emerging Non-Volatile Memories: Attacks and Defenses”, 2016 VLSI Test Symposium (VTS)
[2] Jorge Guajardo1, Boris ˇ Skori´c1, Pim Tuyls1, Sandeep S. Kumar1, Thijs Bel1, Antoon H. M. Blom2, and Geert-Jan Schrijen1, “Anti-counterfeiting, Key Distribution, and Key Storage in an Ambient World via Physical Unclonable Functions⋆”
[3] Charles Herder, Meng-Day (Mandel) Yu, Farinaz Koushanfar, and Srinivas Devadas, “Physical Unclonable Functions and Applications: A Tutorial”, 2014 Proceedings of the IEEE.
[4] J. W. Lee, Daihyun Lim, B. Gassend, G. E. Suh, M. van Dijk and S. Devadas, “A technique to build a secret key in integrated circuits for identification and authentication applications,” in Proc. IEEE Symposium on VLSI Circuits. Digest of Technical Papers
[5] Wenxuan Wang; Aijiao Cui; Gang Qu; Huawei Li: “A low-overhead PUF based on parallel scan design” 2018 ASP-DAC.
[6] Mudit Bhargava & Ken Mai: “A High Reliability PUF Using Hot Carrier Injection Based Response Reinforcement”
[7] Yu,M.D., Devadas, S.: Secure and Robust Error Correction for Physical Unclonable Functions. IEEE Design & Test of Computers 27(1), 48–65 (2010)
[8] Maes, R., Van Herrewege, A., Verbauwhede, I.: PUFKY: A Fully Functional PUF-Based Cryptographic Key Generator. In: Prouff, E., Schaumont, P. (eds.)CHES 2012. LNCS, vol. 7428, pp. 302–319. Springer, Heidelberg (2012)
[9] Yu, M.-D(M.), M’Raihi, D., Sowell, R., Devadas, S.: Lightweight and secure PUF key storage using limits of machine learning. In: Preneel, B., Takagi, T. (eds.) CHES 2011. LNCS, vol. 6917, pp. 358–373. Springer, Heidelberg (2011)
[10] Md. Tauhidur Rahman; Domenic Forte; Jim Fahrny; Mohammad Tehranipoor: “ARO-PUF: An aging-resistant ring oscillator PUF design”,2014 DATE
[11] Bogdan Tudor, Joddy Wang, Charly Sun, Zhaoping Chen, Zhijia Liao, Robin Tan, Weidong Liu, and Frank Lee: “MOSRA: An Efficient and Versatile MOS Aging Modeling and Reliability Analysis Solution for 45nm and Below”, 2010 IEEE International Conference on Solid-State and Integrated Circuit Technology
[12] Cícero Nunes, Paulo F. Butzen, André I. Reis, Renato P. Ribas, “A Methodology to Evaluate the Aging Impact on Flip-Flops Performance”, 2013 Symposium on Integrated Circuits and Systems Design (SBCCI)
[13] Engin Afacan; Mustafa Berke Yelten; Günhan Dündar, “Review: Analog design methodologies for reliability in nanoscale CMOS circuits” 2017(SMACD)
[14] Mohammad Saber Golanbari, Mojtaba Ebrahimi, Saman Kiamehr & Mehdi B. Tahoori: “Selective Flip-Flop Optimization for Reliable Digital Circuit Design”, 2020 TCAD
[15] Atousa Jafari, Mohsen Raji, Behnam Ghavami: “Timing Reliability Improvement of Master-Slave Flip-Flops in the Presence of Aging Effects”, 2020 TCSI
指導教授 陳聿廣(Yu-Guang Chen) 審核日期 2022-12-2
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明