博碩士論文 108521050 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:30 、訪客IP:3.15.5.183
姓名 楊育丞(Yu-Cheng Yang)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 基於電阻式記憶體之運算記憶體測試
(Testing of RRAM-based Computing-In-Memories)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2028-2-1以後開放)
摘要(中) 現代馮紐曼(von Neumann)計算架構在運算(computing)單元與記憶體單元間的資料傳輸成為資料密集型(data-intensive)應用中系統性能與能耗的瓶頸。記憶體內運算(CIM)架構被認為是克服該瓶頸的一個選擇。CIM具有儲存和運算的功能。電阻式隨機存取記憶體(RRAM)是其中一種非揮發性記憶體,它被認為是實現CIM的一個好的選擇。在這篇論文中,我們提出基於RRAM之運算記憶體故障建模及測試包含了記憶體和邏輯運算。首先,藉由插入細胞內(intra-cell)和細胞間(inter-cell)的電性缺陷(electrical defect)對基於1T1R RRAM的CIM記憶體進行了故障建模(fault modeling)。定義了幾個新的故障,包括運算故障和相反樣式相依(complement-pattern-dependent)的故障。此外,在word-oriented記憶體中,故障可分為字內(intra-word)和字間(inter-word)故障。然後,通過考慮字內故障和字間故障,分別提出了6N的March測試與10N的March測試。為了能夠產生出運算和記憶體故障的測試演算法,提出了一種用於CIM記憶體的測試演算法生成(test algorithm generation)方法。CIM記憶體的測試演算法生成方法可以通過檢查運算故障的運算元來減少生成的時間。測試演算法中的運算元在故障模擬前被檢查可以消除許多冗餘的測試演算法。最後,由於基於1T1R RRAM的CIM記憶體故障建模結果,我們關注到電阻分佈重疊的問題。為了克服這個問題,我們提出了一個新的架構,並且可以擴展到多個運算元的操作。同時,對於這個架構進行了測試和故障建模。介紹了一些新的運算故障。通過考慮字內故障和字間故障,分別提出了9N March測試和12N March測試,以涵蓋新架構定義的故障。
摘要(英) The data movement between the computing unit and memory unit of modern von Neumann computing architecture becomes a bottleneck of system performance and energy consumption for data intensive applications. Computing-in-memory (CIM) architecture is considered as an alternative to overcome the bottleneck. A CIM has the function of memory and computing. Resistive random access memory (RRAM) is one of nonvolatile memories, which is considered as a good candidate for the implementation of CIMs. In this thesis, we propose fault modeling and testing techniques for RRAM-based CIMs with memory and logic operations. Firstly, fault modeling for 1T1R RRAM-based CIMs is executed by injecting intra-cell and inter-cell electrical defects. Several new faults are defined, including computing faults and complement-pattern-dependent faults. Also, the faults can be divided into intra-word and inter-word faults for word-oriented memory. Then, a 6N March test and a 10N March test are proposed by considering intra-word faults and inter-word faults, respectively. A test algorithm generation method for CIM memories is proposed to generate test algorithm for computing and memory faults as well. The test algorithm generation method for CIM memories can reduce generation time by checking the operands of computing faults. The operands in test algorithms are checked before fault simulation, which can eliminate many redundant test algorithms. Finally, we focus on the issue which is the overlap of resistance distribution due to the result of fault modeling for 1T1R RRAM-based CIMs. To overcome this issue, a new architecture is proposed and it can be extended to multi-operand operation. Also, the testing and fault modeling of this architecture are performed. Some new computing faults are introduced. A 9N March test and a 12N March test are proposed by considering intra-word faults and inter-word faults to cover the defined faults of new architecture, respectively.
關鍵字(中) ★ 記憶體內運算
★ 電阻式隨機存取記憶體
★ 記憶體測試
★ 測試演算法生成
關鍵字(英) ★ Computing-In-Memories
★ Resistive Random Access Memory
★ Memory Testing
★ Test Algorithm Generation
論文目次 1 Introduction 1
1.1 Resistive Random Access Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 RRAM-based Computing-In-Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.3 Testing of Computing-In-Memories . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.4 Motivation and Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.5 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
2 Testing of 1T1R-RRAM-based Computing-In-Memories 9
2.1 1T1R-RRAM CIMs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
2.2 Fault Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.2.1 Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.2.2 Fault Modeling Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.2.3 Simulation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
2.3 Faults in Computing Mode and Memory Mode . . . . . . . . . . . . . . . . . . . . . 21
2.4 Defect Sensitivity with respect to Functional Operations . . . . . . . . . . . . . . . . 22
2.4.1 Two-operand Logic Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
2.4.2 Multi-operand Logic Operation . . . . . . . . . . . . . . . . . . . . . . . . . . 25
2.5 Test Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
2.5.1 Existing Fault Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
2.5.2 Computing Faults and Pattern Dependent Faults . . . . . . . . . . . . . . . . 29
2.5.3 Fault Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
2.5.4 Test Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
2.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
3 Test Algorithm Generation for CIMs 45
3.1 Test Algorithm Generation by Simulation . . . . . . . . . . . . . . . . . . . . . . . . . 45
3.1.1 Test Algorithm Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
3.1.2 Fault Simulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
3.2 Test Algorithm Generation of CIMs . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
3.2.1 Fault Descriptor of New Faults . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
3.2.2 Test Algorithm generation Strategy . . . . . . . . . . . . . . . . . . . . . . . . . 50
3.3 Test Algorithm Generation Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
3.4 The Result of Test Algorithm Generation . . . . . . . . . . . . . . . . . . . . . . . . . 58
4 Testing of Enhanced 1T1R-RRAM-based CIMs 65
4.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
4.2 Enhanced Scouting Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
4.3 1T1R ESL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
4.4 Defect Sensitivity with respect to Functional Operations for 1T1R ESL . . . . . . . . 69
4.5 Extra Defects and Simulation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
4.6 Test Development for 1T1R ESL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
4.6.1 Fault Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
4.6.2 Test Algorithm for 1T1R ESL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
4.7 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
5 Conclusion and Future Work 81
5.1 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
5.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
參考文獻 [1]S. Yu and P. Y. Chen, “Emerging memory technologies,” IEEE Solid-State Circuits Magazine, vol. 8, no. 2, pp. 43–56, 2016.
[2]H.-S. P. Wong, H. Y. Lee, S. Yu, Y. S. Chen, Y. Wu, P. S. Chen, B. Lee, F. Chen, and M. J. Tsai, “Metal–oxide RRAM,” Proceedings of the IEEE, vol. 100, no. 6, pp. 1951–1970, 2012.
[3]Y. Y. Chen, B. Govoreanu, L. Goux, R. Degraeve, A. Fantini, G. Kar, D. Wouters, G. Groeseneken, J. Kittl, M. Jurczak, and L. Altimime, “Balancing SET/RESET pulse for > 1010 endurance in HfO2/Hf 1T1R bipolar RRAM,” IEEE Transactions on Electron Devices, vol. 59, no. 12, pp. 3243–3249, 2012.
[4]Y. Y. Chen, M. Komura, R. Degraeve, B. Govoreanu, L. Goux, A. Fantini, N. Raghavan,
S. Clima, L. Zhang, A. Belmonte, A. Redolf, G. Kar, G. Groeseneken, D. Wouters, and M. Jurczak, “Improvement of data retention in HfO2/Hf 1T1R RRAM cell under low operating current,” in Proceedings of IEEE International Electron Devices Meeting, 2013, pp. 252–255.
[5]S. Hamdioui, M. Fieback, S. Nagarajan, and M. Taouil, “Testing computation-in-memory architectures based on emerging memories,” in Proceedings of IEEE International Test Conference, 2019, pp. 1–10.
[6]N. Haron and S. Hamdioui, “On defect oriented testing for hybrid CMOS/memristor memory,” in Proceedings of Asian Test Symposium, 2011, pp. 353–358.
[7]C. Nauenheim, C. Kügeler, A. Rüdiger, R. Waser, A. Flocke, and T. Noll, “Nano-crossbar arrays for nonvolatile resistiveRAM (RRAM) applications,” in Proceedings of IEEE Conference on Nanotechnology, 2008, pp. 464–467.
[8]R. Aluguri and T. Y. Tseng, “Notice of violation of IEEE publication principles: Overview of selector devices for 3-D stackable cross point RRAM arrays,” IEEE Journal of the Electron Devices Society, vol. 4, no. 5, pp. 294–306, 2016.
[9]C. Zambelli, A. Grossi, P. Olivo, C. Walczyk, and C. Wenger, “RRAM reliability/performance characterization through array architectures investigations,” in Proceedings of IEEE Computer Society Annual Symposium on VLSI, 2015, pp. 327–332.
[10]S. Kannan, R. Karri, and O. Sinanoglu, “Sneak path testing and fault modeling for multilevel memristor-based memories,” in Proceedings of International Conference on Computer Design (ICCD), 2013, pp. 215–220.
[11]C. Y. Chen, H. C. Shih, C. W. Wu, C. H. Lin, P. F. Chiu, S. S. Sheu, and F. Chen, “RRAM defect modeling and failure analysis based on March test and a novel squeeze-search scheme,” IEEE Transactions on Computers, vol. 64, no. 1, pp. 180–190, 2015.
[12]A. Agrawal, A. Jaiswal, C. Lee, and K. Roy, “X-SRAM: Enabling in-memory boolean computations in CMOS static random access memories,” IEEE Transactions on Circuits and System I: Regular Papers, vol. 65, no. 12, pp. 4219–4232, 2018.
[13]A. Jaiswal, I. Chakraborty, A. Agrawal, and K. Roy, “8T SRAM cell as a multibit dot-product engine for beyond von Neumann computing,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 11, pp. 2556–2567, 2019.
[14]C. Merkel, R. Hasan, N. Soures, D. Kudithipudi, T. Taha, S. Agarwal, and M. Marinella, “Neuromemristive systems: Boosting efficiency through brain-inspired computing,” IEEE Computer, vol. 49, no. 10, pp. 56–64, 2016.
[15]Y. Zhang, L. Xu, Q. Dong, J. Wang, D. Blaauw, and D. Sylvester, “Recryptor: A reconfigurable cryptographic Cortex-M0 processor with in-memory and near-memory computing for IoT security,” IEEE Journal of Solid-State Circuits, vol. 53, no. 4, pp. 995–1005, 2018.
[16]W. Kang, H. Wang, Z. Wang, Y. Zhang, and W. Zhao, “In-memory processing paradigm for bitwise logic operations in STT–MRAM,” IEEE Transactions on Magnetics, vol. 53, no. 11,
pp. 1–4, 2017.
[17]S. Jain, A. Ranjan, K. Roy, and A. Raghunathan, “Computing in memory with spin-transfer torque magnetic RAM,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 3, pp. 470–483, 2018.
[18]H. C. Chen, J. F. Li, C. L. Hsu, and C. T. Sun, “Configurable 8T SRAM for enbling in-memory computing,” in Proceedings of International Conference on Communication Engineering and Technology (ICCET), 2019, pp. 139–142.
[19]S. Kvatinsky, G. Satat, N. Wald, E. Friedman, A. Kolodny, and U. Weiser, “Memristor-based material implication (IMPLY) logic: Design principles and methodologies,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no. 10, pp. 2054–2066, 2014.
[20]S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, E. Friedman, A. Kolodny, and U. Weiser, “MAGIC—memristor-aided logic,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 61, no. 11, pp. 895–899, 2014.
[21]S. Gupta, M. Imani, and T. Rosing, “FELIX: Fast and energy-efficient logic in memory,” in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018, pp. 1–7.
[22]S. Li, C. Xu, Q. Zou1, J. Zhao, Y. Lu, and Y. Xie, “Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories,” in Proceedings of Annual Design Automation Conference, 2016, pp. 1–6.
[23]P. Chi, S. Li, C. Xu, T. Zhang, J. Zhao, Y. Liu, Y. Wang, and Y. Xie, “PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory,” in Proceedings of ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA), 2016, pp. 27–39.
[24]L. Xie, H. Nguyen, J. Yu, A. Kaichouhi, M. Taouil, M. AlFailakawi, and S. Hamdioui, “Scouting logic: A novel memristor-based logic design for resistive computing,” in Proceedings of IEEE Computer Society Annual Symposium on VLSI, 2017, pp. 176–181.
[25]J. Yu, H. Nguyen, M. A. Lebdeh, M. Taouil, and S. Hamdioui, “Enhanced scouting logic: A robust memristive logic design scheme,” in Proceedings of IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), 2019, pp. 1–6.
[26]T. L. Tsai, J. F. Li, C. L. Hsu, and C. T. Sun, “Testing of in-memory-computing 8T SRAMs,” in Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2019, pp. 1–4.
[27]J. F. Li, T. L. Tsai, C. L. Hsu, and C. T. Sun, “Testing of configurable 8T SRAMs for in-memory computing,” in Proceedings of IEEE 29th Asian Test Symposium (ATS), 2020, pp. 1–5.
[28]T. L. Tsai, J. F. Li, C. L. Hsu, and C. T. Sun, “Testing of in-memory-computing memories with 8T SRAMs,” Microelectronics Reliability, vol. 123, 2021.
[29]S. Kannan, J. Rajendran, R. Karri, and O. Sinanoglu, “Sneak-path testing of memristor-based memories,” in Proceedings of International Conference on VLSI Design, 2013, pp. 386–391.
[30]S. Hamdioui, M. Taouil, and N. Z. Haron, “Testing open defects in memristor-based memories,” IEEE Transactions on Computers, vol. 64, no. 1, pp. 247–259, 2015.
[31]O. Ginez, J.-M. Portal, and C. Muller, “Design and test challenges in resistive switching RAM (ReRAM): An electrical model for defect injections,” in Proceedings of European Test Symposium (ETS), 2009, pp. 61–66.
[32]N. Z. Haron and S. Hamdioui, “DfT schemes for resistive open defects in RRAMs,” in Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), 2012,
pp. 799–804.
[33]P. Liu, Z. You, J. Wu, B. Liu, Y. Han, and K. Chakrabarty, “Fault modeling and efficient testing of memristor-based memory,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 11, pp. 4444–4455, 2021.
[34]M. Fieback, G. C. Medeiros, A. Gebregiorgis, H. Aziza, M. Taouil, and S. Hamdioui, “Intermittent undefined state fault in RRAMs,” in Proceedings of IEEE European Test Symposium (ETS), 2021, pp. 1–6.
[35]Y. X. Chen and J. F. Li, “Fault modeling and testing of 1T1R memristor memories,” in Proceedings of VLSI Test Symposium (VTS), 2015, pp. 1–6.
[36]M. Fieback, S. Nagarajan, R. Bishnoi, M. Tahoori, M. Taouil, and S. Hamdioui, “Testing scouting logic-based computation-in-memory architectures,” in Proceedings of European Test Symposium (ETS), 2020, pp. 1–6.
[37]P. Nigh and A. Gattiker, “Random and systematic defect analysis using IDDQ signature analysis for understanding fails and guiding test decisions,” in Proceedings of International Conferce on Test, 2004, pp. 309–318.
[38]F. Hapke, W. Redemund, A. Glowatz, J. Rajski, M. Reese, M. Hustava, M. Keim, J. Schloeffel, and A. Fast, “Cell-aware test,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 9, pp. 1396–1409, 2014.
[39]A. van de Goor and Z. Al-Ars, “Functional memory faults: A formal notation and a taxonomy,” in Proceedings of Proceedings 18th IEEE VLSI Test Symposium, 2000, pp. 281–289.
[40]P.-Y. Chen and S. Yu, “Compact modeling of rram devices and its applications in 1t1r and 1s1r array design,” IEEE Transactions on Electron Devices, vol. 62, no. 12, pp. 4022–4028, 2015.
[41]M. Fieback, M. Taouil, and S. Hamdioui, “Testing resistive memories: Where are we and what is missing?” in Proceedings of IEEE International Test Conference (ITC), 2018, pp. 1–9.
[42]S. N. Mozaffari, S. Tragoudas, and T. Haniotakis, “More efficient testing of metal-oxide memristor–based memory,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 36, no. 6, pp. 1018–1029, 2017.
[43]S. Hamdioui, A. van de Goor, and M. Rodgers, “March SS: A test for all static simple RAM faults,” in Proceedings of IEEE International Workshop on Memory Technology, Design and Testing (MTDT2002), 2002, pp. 95–100.
[44]C. Wu, C. T. Huang, K. L. Cheng, and C. W. Wu, “Simulation-based test algorithm generation for random access memories,” in Proceedings of VLSI Test Symposium, 2000, pp. 291–296.
[45]C. F. Wu, C. T. Huang, and C. W. Wu, “RAMSES: A fast memory fault simulator,” in Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 1999, pp. 165–173.
[46]Y. Luo, X. Cui, M. Luo, and Q. Lin, “A high fault coverage march test for 1T1R memristor array,” in Proceedings of International Conference on Electron Devices and Solid-State Circuits (EDSSC), 2017, pp. 1–2.
指導教授 李進福(Jin-Fu Li) 審核日期 2023-2-2
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明