博碩士論文 110521014 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:51 、訪客IP:3.135.192.97
姓名 潘哲維(Zhe-Wei Pan)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於自旋轉移矩-磁阻式隨機存取記憶 體修剪及測試之可編程內建自我測試架構
(Programmable Built-In Self-Test Scheme for the Trimming and Testing of STT-MRAMs)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2028-8-18以後開放)
摘要(中) 在各種非揮發性記憶體技術中,由於自旋轉移矩磁阻式隨機存取記憶體(STT-MRAM)具 有較低的讀/寫延遲、更好的耐用性和數據保留能力,因此有望成為下世代的先進記憶體 技術。此外,STT-MRAM也被視為適用於數據密集型應用的記憶體內運算架構的有力選擇。 然而STT-MRAM存在低隧道磁阻和容易受到熱擾動的問題,且磁隧道結元件的製造引入了新 的缺陷。這些因素共同導致STT-MRAM在進行量產時面臨著測試和良率的兩大挑戰。  在論文的第一部分中,我們提出了一種針對STT-MRAM 記憶體內運算架構的修剪技 術。這項技術在設計階段基於不同修剪電阻值相關的機率密度函數產生其對應之修剪電 阻,並使用查找表來儲存預期的錯誤數據。在量產測試階段,通過對邊界修剪電阻進行修 剪測試可以得到故障位計數。隨後通過比較故障位計數與查找表中的預期錯誤數,選擇合 適的參考電阻。由於僅透過查找表產生修剪電阻,因此其複雜度為O(1)。相對於現有的二 分搜尋法,此修剪技術在記憶體及記憶體內運算模式下分別減少約45.77%和99.99%的測 試時間。此外,與未使用修剪時相比,它還能提高至少8%的變異容忍度。   在論文的第二部分中,我們提出了一種針對STT-MRAM的可測試性(DFT)增強測試方 案。在測試演算法中會利用DFT調整讀取操作時的讀取電流,以強化可檢測的缺陷尺寸範 圍,從而提高測試品質。模擬結果顯示,在具有可調整讀取電流的17.5N測試演算法中, 相對於傳統測試演算法可以覆蓋約19倍的缺陷尺寸。同時我們還提出了一種減少測試複雜 度並優化測試品質的方法,將測試演算法的測試複雜度從17.5N減少到7N,且達到約17倍 的缺陷尺寸覆蓋率。與傳統演算法相比,在相似的複雜度水平下,所提出的演算法相較於 過去的方案提升至少2.6倍的測試品質。   在論文的第三部分中,我們基於STT-MRAM提出了一種可編程的內建自我測試(PBIST) 架構。提出的PBIST架構可以同時支援修剪、生產和DFT增強測試的功能。在PBSIT當中引入了全新的指令集,利用行進式測試的對稱特性,從而減少PBIST中微指令碼的尺寸。相 對於現有的方法,相對於傳統指令集,所提出的指令集僅需約52.7%的微指令碼位元數就 能實現行進式和非行進式測試,例如,March Y、March C+、March SS、Galloping、 Walking以及Butterfly。我們使用TSMC 40nm CMOS標準單元設計了一個256Kx256位的MRAM PBIST,以支援6位修剪解析度的修剪測試。PBIST的面積成本約為14654μm2,操作頻率達 2GHz。
摘要(英) Among various non-volatile memory technologies, spin-transfer torque magnetic random access memory (STT-MRAM) is a promising memory for advanced technology nodes due to its lower read/write latency, better durability, and data retention. Furthermore, STT-MRAM has been considered as a candidate of in-memory computing (IMC) architecture for data-intensive applications. However, STT-MRAM has the inherent properties of low tunneling magnetoresistance (TMR) and thermal disturbance. Also, the fabrication of the magnetic tunnel junction (MTJ) device induces new defects. Those cause that the testing and yield enhancement are two key challenges for the volume production of STT-MRAMs. In the first part of this thesis, we propose a trimming technique for STT-MRAM IMC memories. The trimming technique uses the lookup table to store the expected error number with respect to different trimming resistances in terms of simulation probability distribution in the design phase. In the production test phase, a trimming test is executed for the boundary trimming resistance and the fail bit counts can be obtained. Then, we can select an appropriate reference resistance by comparing the fail bit counts with the expected error number in the lookup table. Since only the boundary trimming resistance is used for the trimming test, the trimming test complexity is O(1). In comparison with existing binary search approach, the proposed trimming technique can achieve about 45.77% and 99.99% test time reduction in memory mode and computing mode, respectively. Furthermore, compared to the scenario without trimming, it can also enhance variation tolerance by up to 8%. In the second part of this thesis, we propose a design-for-testability(DFT)-enhanced test scheme for STT-MRAMs. In a test algorithm, the read current of a read operation can be adjusted through DFT circuits to extend the detectable range of defect size such that the test quality is improved. Simulation results show that a 17.5N test algorithm with adjustable read current can cover about 19 times of defect size than conventional test algorithm without read current adjusting. A test complexity and test quality optimization approach is proposed to reduce the test complexity. The 17.5N test algorithm can be reduced to 7N and achieve about 17 times of defect size coverage. Compared to traditional algorithms, the proposed algorithm achieves at least 2.6 times higher test quality at similar complexity levels. In the third part of this thesis, we propose a programmable built-in self-test (PBIST) scheme for STT-MRAMs. The PBIST scheme can support the trimming test, production test, and DFTenhanced test. A novel instruction set is proposed to reduce the size of microcode of the PBIST by taking advantage of the symmetric characteristics of March tests. In comparison with existing works, the proposed instruction set can realize march and non-march tests, such as March Y, March C+, March SS, Galloping, Walking, and Butterfly, using only about 52.7% microcode bits. We design a PBIST for 256Kx256-bit MRAM using TSMC 40nm CMOS standard cells to support the trimming test for 6-bit trimming resolution. The area cost of the PBIST is about 14654μm2 at the operation frequency of 2GHz.
關鍵字(中) ★ 自旋轉移矩-磁阻式隨機存取記憶體
★ 測試
★ 修剪
★ 內建自我測試
★ 可編程內建自我測試
關鍵字(英) ★ STT-MRAM
★ testing
★ trimming
★ BIST
★ PBIST
論文目次 1 Introduction 1
1.1 Introduction of STT-MRAM . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Motivation and Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . 9
1.3 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
2 Constant-Time Trimming Technique for STT-MRAMs 13
2.1 Trimming of STT-MRAMs . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.2 Proposed Constant Time Trimming Technique . . . . . . . . . . . . . . . . . 15
2.3 Analysis and Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
3 DFT-Enhanced Testing Scheme 32
3.1 Testing of STT-MRAMs . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
3.2 Proposed Design for Testability . . . . . . . . . . . . . . . . . . . . . . . . 33
3.3 Proposed Test Quality and Test Complexity Optimization Method . . . . . . . . 42
3.4 Analysis and Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
4 Programmable Built-in Self-Test Scheme 52
4.1 Proposed Programmable BIST Architecture . . . . . . . . . . . . . . . . . . 52
4.2 Trimming Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
4.3 Programmable Built-in Self-Test Implementation . . . . . . . . . . . . . . . . 58
4.4 Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
5 Conclusion and Future Work 72
6 Bibliography 74
參考文獻 [1] G. Batra, Z. Jacobson, S. Madhav, A. Queirolo, and N. Santhanam, “Artificial-intelligence hardware: new opportunities for semiconductor companies,” McKinsey & Company: Hong Kong, China, 2018.
[2] W. Banerjee, “Challenges and applications of emerging nonvolatile memory devices,” Electronics, vol. 9, no. 6, p. 1029, 2020.
[3] K. Ikegami, H. Noguchi, C. Kamata, M. Amano, K. Abe, K. Kushida, et al., “Low power and high density STT-MRAM for embedded cache memory using advanced perpendicular MTJ integrations and asymmetric compensation techniques,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2014, pp. 28.1.1–28.1.4.
[4] S. Sakhare, M. Perumkunnil, T. H. Bao, S. Rao, W. Kim, D. Crotti, et al., “Enablement of STT-MRAM as last level cache for the high performance computing domain at the 5nm node,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2018, pp. 18.3.1–18.3.4.
[5] M. Gupta, M. Perumkunnil, K. Garello, S. Rao, F. Yasin, G. Kar, et al., “High-density SOTMRAM technology and design specifications for the embedded domain at 5nm node,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2020, pp. 24.5.1–24.5.4.
[6] O. Golonzka, J. G. Alzate, U. Arslan, M. Bohr, P. Bai, J. Brockman , et al., “MRAM as embedded non-volatile memory solution for 22FFL FinFET technology,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2018, pp. 18.1.1–18.1.4.
[7] K. Lee, J. H. Bak, Y. J. Kim, C. K. Kim, A. Antonyan, D. H. Chang , et al., “1Gbit high density embedded STT-MRAM in 28nm FDSOI technology,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2019, pp. 2.2.1–2.2.4.
[8] S. Jung, H. Lee, S. Myung, H. Kim, S. K. Yoon, S.-W. Kwon, et al., “A crossbar array of magnetoresistive memory devices for in-memory computing,” Nature, vol. 601, no. 7892, pp. 211–216, 2022.
[9] V. B. Naik, K. Yamane, T. Lee, J. Kwon, R. Chao, J. Lim, et al., “JEDEC-qualified highly reliable 22nm FD-SOI embedded MRAM for low-power industrial-grade, and extended performance towards automotive-grade-1 applications,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2020, pp. 11.3.1–11.3.4.
[10] Y.-D. Chih, Y.-C. Shih, C.-F. Lee, Y.-A. Chang, P.-H. Lee, H.-J. Lin , et al., “A 22nm 32Mb embedded STT-MRAM with 10ns read speed, 1M cycle write endurance, 10 years retention at 150C and high immunity to magnetic field interference,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), 2020, pp. 222–224.
[11] Y.-C. Chiu, C.-S. Yang, S.-H. Teng, H.-Y. Huang, F.-C. Chang, Y. Wu , et al., “A 22nm 4Mb STT-MRAM data-encrypted near-memory computation macro with a 192GB/s readand- decryption bandwidth and 25.1-55.1TOPS/W 8b MAC for AI operations,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), vol. 65, 2022, pp. 178–180.
[12] Y.-C. Chiu, W.-S. Khwa, C.-Y. Li, F.-L. Hsieh, Y.-A. Chien, G.-Y. Lin , et al., “A 22nm 8Mb STT-MRAM near-memory-computing macro with 8b-precision and 46.4-160.1TOPS/W for edge-AI devices,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), 2023, pp. 496–498.
[13] W. Gallagher, E. Chien, T.-W. Chiang, J.-C. Huang, M.-C. Shih, C.Wang , et al., “22nm STTMRAM for reflow and automotive uses with high yield, reliability, and magnetic immunity and with performance and shielding options,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2019, pp. 2.7.1–2.7.4.
[14] P.-H. Lee, C.-F. Lee, Y.-C. Shih, H.-J. Lin, Y.-A. Chang, C.-H. Lu , et al., “A 16nm 32Mb embedded STT-MRAM with a 6ns read-access time, a 1M-cycle write endurance, 20-year retention at 150C and MTJ-OTP solutions for magnetic immunity,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), 2023, pp. 494–496.
[15] A. Khvalkovskiy, D. Apalkov, S. Watts, R. Chepulskii, R. Beach, A. Ong , et al., “Basic principles of STT-MRAM cell operation in memory arrays,” Journal of Physics D: Applied Physics, vol. 46, p. 074001, 01 2013.
[16] E. Y. Tsymbal, O. N. Mryasov, and P. R. LeClair, “Spin-dependent tunnelling in magnetic tunnel junctions,” Journal of Physics: Condensed Matter, vol. 15, no. 4, p. R109, 2003.
[17] M. Julliere, “Tunneling between ferromagnetic films,” Physics letters A, vol. 54, no. 3, pp. 225–226, 1975.
[18] J. Kim, A. Chen, B. Behin-Aein, S. Kumar, J.-P. Wang, and C. H. Kim, “A technologyagnostic MTJ SPICE model with user-defined dimensions for STT-MRAM scalability studies,” in Proceedings IEEE Custom Integrated Circuits Conference (CICC), 2015, pp. 1–4.
[19] J. Z. Sun, “Spin-current interaction with a monodomain magnetic body: A model study,” Physical Review B, vol. 62, no. 1, p. 570, 2000.
[20] E. Chen, D. Apalkov, A. Driskill-Smith, A. Khvalkovskiy, D. Lottis, K. Moon , et al., “Progress and prospects of spin transfer torque random access memory,” IEEE Transactions on Magnetics, vol. 48, no. 11, pp. 3025–3030, 2012.
[21] F. Schleicher, U. Halisdemir, D. Lacour, M. Gallart, S. Boukari, G. Schmerber , et al., “Localized states in advanced dielectrics from the vantage of spin-and symmetry-polarized tunnelling across MgO,” Nature communications, vol. 5, no. 1, p. 4547, 2014.
[22] S. Yuasa, Y. Suzuki, T. Katayama, and K. Ando, “Characterization of growth and crystallization processes in CoFeB/MgO/CoFeB magnetic tunnel junction structure by reflective high-energy electron diffraction,” Applied Physics Letters, vol. 87, no. 24, 2005.
[23] B. Bhusan Singh and S. Chaudhary, “Effect of MgO spacer and annealing on interface and magnetic properties of ion beam sputtered NiFe/Mg/MgO/CoFe layer structures,” Journal of Applied Physics, vol. 112, no. 6, 2012.
[24] K. Sugiura, S. Takahashi, M. Amano, T. Kajiyama, M. Iwayama, Y. Asao , et al., “Ion beam etching technology for high-density spin transfer torque magnetic random access memory,” Japanese Journal of Applied Physics, vol. 48, no. 8S1, p. 08HD02, 2009.
[25] M. Sachdev and J. P. De Gyvez, Defect-oriented testing for nano-metric CMOS VLSI circuits. Springer Science & Business Media, 2007, vol. 34.
[26] M. Fieback, L. Wu, G. C. Medeiros, H. Aziza, S. Rao, E. J. Marinissen , et al., “Deviceaware test: A new test approach towards DPPB level,” in Proceedings IEEE International Test Conference (ITC), 2019, pp. 1–10.
[27] E. M. Boujamaa, S. M. Ali, S. N.Wandji, A. Gourio, S. Pyo, G. Koh , et al., “A 14.7Mb/mm2 28nm FDSOI STT-MRAM with current starved read path, 52/sigma offset voltage sense amplifier and fully trimmable CTAT reference,” in Proceedings IEEE Symposium on VLSI Circuits, 2020, pp. 1–2.
[28] J. Yun, B. Nadeau-Dostie, M. Keim, C. Dray, and M. Boujamaa, “MBIST support for reliable eMRAM sensing,” in Proceedings IEEE European Test Symposium (ETS), 2020, pp. 1–6.
[29] C. M¨unch, J. Yun, M. Keim, and M. B. Tahoori, “MBIST-supported trim adjustment to compensate thermal behavior of MRAM,” in Proceedings IEEE European Test Symposium (ETS), 2021, pp. 1–6.
[30] C. M¨unch, J. Yun, M. Keim, and M. B. Tahoori, “MBIST-based trim-search test time reduction for STT-MRAM,” in Proceedings IEEE VLSI Test Symposium (VTS), 2022, pp. 1–7.
[31] Y. L. Tong, The Multivariate Normal Distribution. Springer New York, 1990.
[32] K. Phillips, “R functions to symbolically compute the central moments of the multivariate normal distribution,” Journal of Statistical Software, vol. 33, pp. 1–14, 2010.
[33] S. Jain, A. Ranjan, K. Roy, and A. Raghunathan, “Computing in memory with spin-transfer torque magnetic RAM,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 3, pp. 470–483, 2018.
[34] A. Chintaluri, H. Naeimi, S. Natarajan, and A. Raychowdhury, “Analysis of defects and variations in embedded spin transfer torque (STT) MRAM arrays,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 6, no. 3, pp. 319–329, 2016.
[35] S. M. Nair, C. Mnch, and M. B. Tahoori, “Defect characterization and test generation for spintronic-based compute-in-memory,” in Proceedings IEEE European Test Symposium (ETS), 2020, pp. 1–6.
[36] S. Taghipour, M. Kamal, R. N. Asli, A. Afzali-Kusha, and M. Pedram, “CD-DFT: A currentdifference design-for-testability to detect short defects of STT-MRAM under process variations,” IEEE Transactions on Device and Materials Reliability, vol. 21, no. 3, pp. 436–443, 2021.
[37] Z.-W. Pan and J.-F. Li, “DFT-enhanced test scheme for spin-transfer-torque (STT) MRAMs,” in Proceedings IEEE International Test Conference (ITC), 2022, pp. 489–493.
[38] T. Min, P.-K. Wang, M.-M. Chen, C. Horng, X. Shi, Y. Guo, et al., “Study of intermediate magnetization states in deep submicrometer MRAM cells,” IEEE Transactions on Magnetics, vol. 41, no. 10, pp. 2664–2666, 2005.
[39] L. Wu, S. Rao, M. Taouil, E. J. Marinissen, G. S. Kar, and S. Hamdioui, “Characterization, modeling and test of synthetic anti-ferromagnet flip defect in STT-MRAMs,” in Proceedings IEEE International Test Conference (ITC), 2020, pp. 1–10.
[40] A. van de Goor and Z. Al-Ars, “Functional memory faults: a formal notation and a taxonomy,” in Proceedings IEEE VLSI Test Symposium (VTS), 2000, pp. 281–289.
[41] Y. Mack and M. Rosenblatt, “Multivariate k-nearest neighbor density estimates,” Journal of Multivariate Analysis, vol. 9, no. 1, pp. 1–15, 1979.
[42] A. Benso, S. Di Carlo, G. Di Natale, P. Prinetto, and M. Lobetti Bodoni, “A programmable BIST architecture for clusters of multiple-port SRAMs,” in Proceedings IEEE International Test Conference (ITC), 2000, pp. 557–566.
[43] P. Jakobsen, J. Dreibelbis, G. Pomichter, D. Anand, J. Barth, M. Nelms , et al., “Embedded DRAM built in self test and methodology for test insertion,” in Proceedings IEEE International Test Conference (ITC), 2001, pp. 975–984.
[44] D. Appello, P. Bernardi, A. Fudoli, M. Rebaudengo, M. Reorda, V. Tancorre , et al., “Exploiting programmable BIST for the diagnosis of embedded memory cores,” in Proceedings IEEE International Test Conference (ITC), vol. 1, 2003, pp. 379–385.
[45] M. Kume, K. Uehara, M. Itakura, H. Sawamoto, T. Kobayashi, M. Hasegawa , et al., “Programmable at-speed array and functional BIST for embedded DRAM LSI,” in Proceedings IEEE International Test Conference (ITC), 2004, pp. 988–996.
[46] X. Du, N. Mukherjee, W.-T. Cheng, and S. Reddy, “Full-speed field-programmable memory BIST architecture,” in Proceedings IEEE International Test Conference (ITC), 2005, pp. 9 pp.–1173.
[47] X. Du, N. Mukherjee, C. Hill, W.-T. Cheng, and S. Reddy, “A field programmable memory BIST architecture supporting algorithms with multiple nested loops,” in Proceedings IEEE Asian Test Symposium (ATS), 2006, pp. 287–292.
[48] Y. Park, J. Park, T. Han, and S. Kang, “An effective programmable memory BIST for embedded memory,” IEICE transactions on information and systems, vol. 92, no. 12, pp. 2508– 2511, 2009.
[49] Y. Park, H.-S. Kim, I. Choi, and S. Kang, “A flexible programmable memory BIST for embedded single-port memory and dual-port memory,” Electronics and Telecommunications Research Institute (ETRI), vol. 35, no. 5, pp. 808–818, 2013.
[50] C.-C. Yang, J.-F. Li, Y.-C. Yu, K.-T.Wu, C.-Y. Lo, C.-H. Chen, et al., “A hybrid built-in selftest scheme for DRAMs,” in Proceedings VLSI Design, Automation and Test (VLSI-DAT), 2015, pp. 1–4.
[51] I. Kim,W. Jeong, D. Kang, and S. Kang, “Fully programmable memory BIST for commodity DRAMs,” Electronics and Telecommunications Research Institute (ETRI), vol. 37, no. 4, pp. 787–792, 2015.
[52] T.-F. Hsieh, J.-F. Li, K.-T. Wu, J.-S. Lai, C.-Y. Lo, D.-M. Kwai, et al., “Software-hardwarecooperated built-in self-test scheme for channel-based DRAMs,” in Proceedings International Test Conference in Asia (ITC-Asia), 2017, pp. 107–111.
[53] Y. Lu, “BIST implementation access through a reconfigurable network,” 2019.
指導教授 李進福(Jin-Fu Li) 審核日期 2023-8-21
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明