參考文獻 |
[1] G. Batra, Z. Jacobson, S. Madhav, A. Queirolo, and N. Santhanam, “Artificial-intelligence hardware: new opportunities for semiconductor companies,” McKinsey & Company: Hong Kong, China, 2018.
[2] W. Banerjee, “Challenges and applications of emerging nonvolatile memory devices,” Electronics, vol. 9, no. 6, p. 1029, 2020.
[3] K. Ikegami, H. Noguchi, C. Kamata, M. Amano, K. Abe, K. Kushida, et al., “Low power and high density STT-MRAM for embedded cache memory using advanced perpendicular MTJ integrations and asymmetric compensation techniques,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2014, pp. 28.1.1–28.1.4.
[4] S. Sakhare, M. Perumkunnil, T. H. Bao, S. Rao, W. Kim, D. Crotti, et al., “Enablement of STT-MRAM as last level cache for the high performance computing domain at the 5nm node,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2018, pp. 18.3.1–18.3.4.
[5] M. Gupta, M. Perumkunnil, K. Garello, S. Rao, F. Yasin, G. Kar, et al., “High-density SOTMRAM technology and design specifications for the embedded domain at 5nm node,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2020, pp. 24.5.1–24.5.4.
[6] O. Golonzka, J. G. Alzate, U. Arslan, M. Bohr, P. Bai, J. Brockman , et al., “MRAM as embedded non-volatile memory solution for 22FFL FinFET technology,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2018, pp. 18.1.1–18.1.4.
[7] K. Lee, J. H. Bak, Y. J. Kim, C. K. Kim, A. Antonyan, D. H. Chang , et al., “1Gbit high density embedded STT-MRAM in 28nm FDSOI technology,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2019, pp. 2.2.1–2.2.4.
[8] S. Jung, H. Lee, S. Myung, H. Kim, S. K. Yoon, S.-W. Kwon, et al., “A crossbar array of magnetoresistive memory devices for in-memory computing,” Nature, vol. 601, no. 7892, pp. 211–216, 2022.
[9] V. B. Naik, K. Yamane, T. Lee, J. Kwon, R. Chao, J. Lim, et al., “JEDEC-qualified highly reliable 22nm FD-SOI embedded MRAM for low-power industrial-grade, and extended performance towards automotive-grade-1 applications,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2020, pp. 11.3.1–11.3.4.
[10] Y.-D. Chih, Y.-C. Shih, C.-F. Lee, Y.-A. Chang, P.-H. Lee, H.-J. Lin , et al., “A 22nm 32Mb embedded STT-MRAM with 10ns read speed, 1M cycle write endurance, 10 years retention at 150C and high immunity to magnetic field interference,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), 2020, pp. 222–224.
[11] Y.-C. Chiu, C.-S. Yang, S.-H. Teng, H.-Y. Huang, F.-C. Chang, Y. Wu , et al., “A 22nm 4Mb STT-MRAM data-encrypted near-memory computation macro with a 192GB/s readand- decryption bandwidth and 25.1-55.1TOPS/W 8b MAC for AI operations,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), vol. 65, 2022, pp. 178–180.
[12] Y.-C. Chiu, W.-S. Khwa, C.-Y. Li, F.-L. Hsieh, Y.-A. Chien, G.-Y. Lin , et al., “A 22nm 8Mb STT-MRAM near-memory-computing macro with 8b-precision and 46.4-160.1TOPS/W for edge-AI devices,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), 2023, pp. 496–498.
[13] W. Gallagher, E. Chien, T.-W. Chiang, J.-C. Huang, M.-C. Shih, C.Wang , et al., “22nm STTMRAM for reflow and automotive uses with high yield, reliability, and magnetic immunity and with performance and shielding options,” in Proceedings IEEE International Electron Devices Meeting (IEDM), 2019, pp. 2.7.1–2.7.4.
[14] P.-H. Lee, C.-F. Lee, Y.-C. Shih, H.-J. Lin, Y.-A. Chang, C.-H. Lu , et al., “A 16nm 32Mb embedded STT-MRAM with a 6ns read-access time, a 1M-cycle write endurance, 20-year retention at 150C and MTJ-OTP solutions for magnetic immunity,” in Proceedings IEEE International Solid-State Circuits Conference (ISSCC), 2023, pp. 494–496.
[15] A. Khvalkovskiy, D. Apalkov, S. Watts, R. Chepulskii, R. Beach, A. Ong , et al., “Basic principles of STT-MRAM cell operation in memory arrays,” Journal of Physics D: Applied Physics, vol. 46, p. 074001, 01 2013.
[16] E. Y. Tsymbal, O. N. Mryasov, and P. R. LeClair, “Spin-dependent tunnelling in magnetic tunnel junctions,” Journal of Physics: Condensed Matter, vol. 15, no. 4, p. R109, 2003.
[17] M. Julliere, “Tunneling between ferromagnetic films,” Physics letters A, vol. 54, no. 3, pp. 225–226, 1975.
[18] J. Kim, A. Chen, B. Behin-Aein, S. Kumar, J.-P. Wang, and C. H. Kim, “A technologyagnostic MTJ SPICE model with user-defined dimensions for STT-MRAM scalability studies,” in Proceedings IEEE Custom Integrated Circuits Conference (CICC), 2015, pp. 1–4.
[19] J. Z. Sun, “Spin-current interaction with a monodomain magnetic body: A model study,” Physical Review B, vol. 62, no. 1, p. 570, 2000.
[20] E. Chen, D. Apalkov, A. Driskill-Smith, A. Khvalkovskiy, D. Lottis, K. Moon , et al., “Progress and prospects of spin transfer torque random access memory,” IEEE Transactions on Magnetics, vol. 48, no. 11, pp. 3025–3030, 2012.
[21] F. Schleicher, U. Halisdemir, D. Lacour, M. Gallart, S. Boukari, G. Schmerber , et al., “Localized states in advanced dielectrics from the vantage of spin-and symmetry-polarized tunnelling across MgO,” Nature communications, vol. 5, no. 1, p. 4547, 2014.
[22] S. Yuasa, Y. Suzuki, T. Katayama, and K. Ando, “Characterization of growth and crystallization processes in CoFeB/MgO/CoFeB magnetic tunnel junction structure by reflective high-energy electron diffraction,” Applied Physics Letters, vol. 87, no. 24, 2005.
[23] B. Bhusan Singh and S. Chaudhary, “Effect of MgO spacer and annealing on interface and magnetic properties of ion beam sputtered NiFe/Mg/MgO/CoFe layer structures,” Journal of Applied Physics, vol. 112, no. 6, 2012.
[24] K. Sugiura, S. Takahashi, M. Amano, T. Kajiyama, M. Iwayama, Y. Asao , et al., “Ion beam etching technology for high-density spin transfer torque magnetic random access memory,” Japanese Journal of Applied Physics, vol. 48, no. 8S1, p. 08HD02, 2009.
[25] M. Sachdev and J. P. De Gyvez, Defect-oriented testing for nano-metric CMOS VLSI circuits. Springer Science & Business Media, 2007, vol. 34.
[26] M. Fieback, L. Wu, G. C. Medeiros, H. Aziza, S. Rao, E. J. Marinissen , et al., “Deviceaware test: A new test approach towards DPPB level,” in Proceedings IEEE International Test Conference (ITC), 2019, pp. 1–10.
[27] E. M. Boujamaa, S. M. Ali, S. N.Wandji, A. Gourio, S. Pyo, G. Koh , et al., “A 14.7Mb/mm2 28nm FDSOI STT-MRAM with current starved read path, 52/sigma offset voltage sense amplifier and fully trimmable CTAT reference,” in Proceedings IEEE Symposium on VLSI Circuits, 2020, pp. 1–2.
[28] J. Yun, B. Nadeau-Dostie, M. Keim, C. Dray, and M. Boujamaa, “MBIST support for reliable eMRAM sensing,” in Proceedings IEEE European Test Symposium (ETS), 2020, pp. 1–6.
[29] C. M¨unch, J. Yun, M. Keim, and M. B. Tahoori, “MBIST-supported trim adjustment to compensate thermal behavior of MRAM,” in Proceedings IEEE European Test Symposium (ETS), 2021, pp. 1–6.
[30] C. M¨unch, J. Yun, M. Keim, and M. B. Tahoori, “MBIST-based trim-search test time reduction for STT-MRAM,” in Proceedings IEEE VLSI Test Symposium (VTS), 2022, pp. 1–7.
[31] Y. L. Tong, The Multivariate Normal Distribution. Springer New York, 1990.
[32] K. Phillips, “R functions to symbolically compute the central moments of the multivariate normal distribution,” Journal of Statistical Software, vol. 33, pp. 1–14, 2010.
[33] S. Jain, A. Ranjan, K. Roy, and A. Raghunathan, “Computing in memory with spin-transfer torque magnetic RAM,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 3, pp. 470–483, 2018.
[34] A. Chintaluri, H. Naeimi, S. Natarajan, and A. Raychowdhury, “Analysis of defects and variations in embedded spin transfer torque (STT) MRAM arrays,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 6, no. 3, pp. 319–329, 2016.
[35] S. M. Nair, C. Mnch, and M. B. Tahoori, “Defect characterization and test generation for spintronic-based compute-in-memory,” in Proceedings IEEE European Test Symposium (ETS), 2020, pp. 1–6.
[36] S. Taghipour, M. Kamal, R. N. Asli, A. Afzali-Kusha, and M. Pedram, “CD-DFT: A currentdifference design-for-testability to detect short defects of STT-MRAM under process variations,” IEEE Transactions on Device and Materials Reliability, vol. 21, no. 3, pp. 436–443, 2021.
[37] Z.-W. Pan and J.-F. Li, “DFT-enhanced test scheme for spin-transfer-torque (STT) MRAMs,” in Proceedings IEEE International Test Conference (ITC), 2022, pp. 489–493.
[38] T. Min, P.-K. Wang, M.-M. Chen, C. Horng, X. Shi, Y. Guo, et al., “Study of intermediate magnetization states in deep submicrometer MRAM cells,” IEEE Transactions on Magnetics, vol. 41, no. 10, pp. 2664–2666, 2005.
[39] L. Wu, S. Rao, M. Taouil, E. J. Marinissen, G. S. Kar, and S. Hamdioui, “Characterization, modeling and test of synthetic anti-ferromagnet flip defect in STT-MRAMs,” in Proceedings IEEE International Test Conference (ITC), 2020, pp. 1–10.
[40] A. van de Goor and Z. Al-Ars, “Functional memory faults: a formal notation and a taxonomy,” in Proceedings IEEE VLSI Test Symposium (VTS), 2000, pp. 281–289.
[41] Y. Mack and M. Rosenblatt, “Multivariate k-nearest neighbor density estimates,” Journal of Multivariate Analysis, vol. 9, no. 1, pp. 1–15, 1979.
[42] A. Benso, S. Di Carlo, G. Di Natale, P. Prinetto, and M. Lobetti Bodoni, “A programmable BIST architecture for clusters of multiple-port SRAMs,” in Proceedings IEEE International Test Conference (ITC), 2000, pp. 557–566.
[43] P. Jakobsen, J. Dreibelbis, G. Pomichter, D. Anand, J. Barth, M. Nelms , et al., “Embedded DRAM built in self test and methodology for test insertion,” in Proceedings IEEE International Test Conference (ITC), 2001, pp. 975–984.
[44] D. Appello, P. Bernardi, A. Fudoli, M. Rebaudengo, M. Reorda, V. Tancorre , et al., “Exploiting programmable BIST for the diagnosis of embedded memory cores,” in Proceedings IEEE International Test Conference (ITC), vol. 1, 2003, pp. 379–385.
[45] M. Kume, K. Uehara, M. Itakura, H. Sawamoto, T. Kobayashi, M. Hasegawa , et al., “Programmable at-speed array and functional BIST for embedded DRAM LSI,” in Proceedings IEEE International Test Conference (ITC), 2004, pp. 988–996.
[46] X. Du, N. Mukherjee, W.-T. Cheng, and S. Reddy, “Full-speed field-programmable memory BIST architecture,” in Proceedings IEEE International Test Conference (ITC), 2005, pp. 9 pp.–1173.
[47] X. Du, N. Mukherjee, C. Hill, W.-T. Cheng, and S. Reddy, “A field programmable memory BIST architecture supporting algorithms with multiple nested loops,” in Proceedings IEEE Asian Test Symposium (ATS), 2006, pp. 287–292.
[48] Y. Park, J. Park, T. Han, and S. Kang, “An effective programmable memory BIST for embedded memory,” IEICE transactions on information and systems, vol. 92, no. 12, pp. 2508– 2511, 2009.
[49] Y. Park, H.-S. Kim, I. Choi, and S. Kang, “A flexible programmable memory BIST for embedded single-port memory and dual-port memory,” Electronics and Telecommunications Research Institute (ETRI), vol. 35, no. 5, pp. 808–818, 2013.
[50] C.-C. Yang, J.-F. Li, Y.-C. Yu, K.-T.Wu, C.-Y. Lo, C.-H. Chen, et al., “A hybrid built-in selftest scheme for DRAMs,” in Proceedings VLSI Design, Automation and Test (VLSI-DAT), 2015, pp. 1–4.
[51] I. Kim,W. Jeong, D. Kang, and S. Kang, “Fully programmable memory BIST for commodity DRAMs,” Electronics and Telecommunications Research Institute (ETRI), vol. 37, no. 4, pp. 787–792, 2015.
[52] T.-F. Hsieh, J.-F. Li, K.-T. Wu, J.-S. Lai, C.-Y. Lo, D.-M. Kwai, et al., “Software-hardwarecooperated built-in self-test scheme for channel-based DRAMs,” in Proceedings International Test Conference in Asia (ITC-Asia), 2017, pp. 107–111.
[53] Y. Lu, “BIST implementation access through a reconfigurable network,” 2019. |