博碩士論文 945201005 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:83 、訪客IP:18.116.15.3
姓名 楊舜勛(Shun-Hsun Yang)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計
(Design of Low Power TCAMs Using Double Pai-Sigma Match Lines)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計★ 低功率與可自我修復之三元內容定址記憶體設計
★ 多核心系統晶片之診斷方法★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產
★ 應用於貪睡靜態記憶體之有效診斷與修復技術★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案
★ 應用於隨機存取記憶體之有效良率及可靠度提升技術★ 應用於特殊半導體記憶體之測試與可靠性設計技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 三元內容定址記憶體(TCAM)在數位系統中扮演著重要的角色,尤其是網路應用。與隨機存取記憶體相比,三元內容定址記憶體的主要缺點是較高的功率消耗。因此,有效降低功率的技術對於設計三元內容定址記憶體是非常重要的。
本論文提出了一個用於TCAM之低功率消耗設計技術。我們提出一個雙倍疊乘-累加命中線(DPS match line)架構以減低TCAM比對時的功率消耗。在DPS match line上,Pai電路實現NAND功能以減少Sigma電路的預充電機率,而Sigma電路則是實現NOR功能。如此DPS match line的功率消耗可以被減少。此外,N位元的Pai電路將被分成兩個N/2位元的平行運算電路以減少Pai電路的延遲時間。與NOR-type命中線比較,利用此命中線完成之32x64三元內容定址記憶體,可降低60%的功率消耗。
另外,一個改進的高優先權編碼器亦被提出來消除典型高優先權編碼器的DC電流。模擬結果顯示出此電路的功率消耗只為典型高優先權編碼器的77%。本論文針對TCAM亦提出了一個二維備份電路設計方式所提出的方法可以關閉缺陷元件的預充電動作,如此修復後的TCAM比起完好的TCAM將不會造成多餘的功率消耗。
摘要(英) Ternary content addressable memories (TCAMs) play an important role in many digital systems, especially for network applications. Compared with the random access memory (RAM), however, high power dissipation is one of major disadvantages of the TCAMs. Thus, efficient power-reduction techniques are very important for designing a cost-efficient TCAM.
This thesis proposes a low-power design technique for TCAMs. A double Pai-Sigma (DPS) match line structure is proposed to reduce the Compare power of a TCAM. In a DPS match line, the Pai circuit realizing a NAND function can reduce the precharging probability of the Sigma circuit which realizes a NOR function, such that the power consumption of the DPS match line is reduced. To reduce the delay of the Pai circuit, furthermore, an N-bit Pai circuit is designed as two N/2-bit Pai circuits in parallel. Compared with a TCAM with NOR-type match lines, simulation results show that 60% power reduction can be achieved for a 32x64-bit TCAM with the double Pai-Sigma match lines.
On the other hand, a modified priority encoder is also proposed to eliminate the DC current of a typical priority encoder. Simulation results show that the modified priority encoder only consumes about 77% power of a typical priority encoder. This thesis also presents a two-dimension redundancy scheme for TCAMs. The proposed redundancy scheme can turn off the precharge operation of defective elements, such that a repaired TCAM does not consume more power than a good one.
關鍵字(中) ★ 三元內容定址記憶體
★ 低功率
★ 備份電路
★ 高優先權編碼器
關鍵字(英) ★ TCAM
★ Low Power
★ Priority Encoder
★ Redundancy
論文目次 Chapter 1 Introduction 1
Chapter 2 Content Addressable Memories 6
2.1 Typical CAM Architecture 6
2.2 CAM Word Structures 8
2.3 CAM Cell Structures 11
2.3.1 Binary CAM Cell 11
2.3.2 Ternary CAM Cell 12
2.4 Low-Power Design Considerations 15
Chapter 3 Double Pai-Sigma Match Line Design 17
3.1 Definition of Match Line Equation 17
3.2 Design Styles of Pai and Sigma Circuits 20
3.3 Proposed Double Pai-Sigma Match Line 25
3.4 Analysis and Comparison 36
Chapter 4 Design of Priority Encoder and Redundancy 49
4.1 A Typical Priority Encoder Architecture 49
4.2 Modified Priority Encoder 51
4.3 Shift Redundancy 55
4.3.1 Row Redundancy 56
4.3.2 Column Redundancy 58
Chapter 5 Experimental Results and Test Chip 61
5.1 Pre-layout Simulation 61
5.1.1 TCAM Array Simulation 61
5.1.2 Peripheral Circuit Simulation 72
5.2 Physical Layout Consideration 74
5.3 Post-layout Simulation 76
5.4 Test Chip Measurement Results 80
Chapter 6 Conclusions and Future Work 90
Reference ……………………………………………………………. 92
參考文獻 [1] K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (CAM) circuits and architectures: A tutorial and survey,” IEEE J. Solid State Circuits (JSSC), vol. 41, no. 3, pp. 712-727, March 2006.
[2] G. Kasai,Y. Takarabe, K. Furumi, and M.Yoneda, “200 MHz/200 MSPS 3.2W at 1.5 V Vdd, 9.4 Mbits ternary CAM with new charge injection match detect circuits and bank selection scheme,” in Proc. IEEE Custom Integrated Circuits Conference (CICC), 2003, pp. 387–390.
[3] M. M. Khellah and M. Elmasry, “Use of charge sharing to reduce energy consumption in wide fan-in gates,” in Proc. IEEE Int. Symposium on Circuits Systems (ISCAS), 1998, vol. 2, pp. 9–12.
[4] I. Arsovski, T. Chandler, and A. Sheikholeslami, “A ternary content addressable memory (TCAM) based on 4T static storage and including a current-race sensing scheme,” IEEE J. Solid-State Circuits (JSSC), vol. 38, no.1, pp. 155–158, Jan. 2003.
[5] C. A. Zukowski and S.-Y. Wang, “Use of selective precharge for low power content-addressable memories,” in Proc. IEEE Int. Symposium on Circuits Systems (ISCAS), 1997, vol. 3, pp. 1788–1791.
[6] Efthymiou and J. D. Garside, “A CAM with mixed serial-parallel comparison for use in low energy caches,” IEEE Transactions on VLSI Systems (TVLSI), vol. 12, no. 3, pp. 325–329, March 2004.
[7] B.-D. Yang and L.-S. Kim, “A low-power CAM using pulsed NAND–NOR match-line and charge-recycling search-line driver,” IEEE J. Solid State Circuits (JSSC), vol. 40, no. 8, pp. 1736-1744, August 2005.
[8] K. Pagiamtzis and A. Sheikholeslami, “Pipelined match-lines and hierarchical search-lines for low-power content-addressable memories,” in Proc. IEEE Custom Integrated Circuits Conference (CICC), 2003, pp.383–386.
[9] I. Arsovski and A. Sheikholeslami, “A current-saving match-line sensing scheme for content-addressable memories,” in Digest of IEEE International Solid-State Circuits Conference (ISSCC), 2003, pp. 304–305.
[10] S. Choi, K. Sohn, and H.-J. Yoo, “A 0.7 fJ/bit/search, 2.2.ns search time hybrid-type TCAM architecture,” IEEE J. Solid-State Circuits (JSSC), vol. 40, no. 1, pp. 254–260, Jan. 2005.
[11] H.-Y. Li, C.-C. Chen, J.-S. Wang, and C.-W. Yeh, “An AND-type match-line scheme for high-performance energy-efficient content addressable memories,” IEEE J. Solid State Circuits (JSSC), vol. 41, no. 5, pp. 1108-1119, May 2006.
[12] M. J. Akhbarizadeh, M. Nourani, D. S. Vijayasarathi, and P. T. Balsara, “A non-redundant ternary CAM circuit for network search engines,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 14, no. 3, pp.268-278, March 2006.
[13] S. Hanzawa, T. Sakata, K. Kajigaya, R. Takemura, and T. Kawahara, “A dynamic CAM—based on a one-hot-spot block code-for millions-entry lookup,” in Proc. IEEE Symposium on VLSI Circuits, 2004, pp 382–385.
[14] S. Hanzawa, T. Sakata, K. Kajigaya, R. Takemura, and T. Kawahara, “A large-scale and low-power CAM architecture featuring a onehot-spot block code for IP-address lookup in a network router,” IEEE J. Solid-State Circuits (JSSC), vol. 40, no. 4, pp. 853–861, Apr. 2005.
[15] H. Noda, K. Inoue, M. Kuroiwa, A. Amo, A. Hachisuka, H. J. Mattausch, T. Koide, S. Soeda, K. Dosaka, and K. Arimoto,“A 143MHz 1.1W 4.5Mb dynamic TCAM with hierarchical searching and shift redundancy architecture,” in Digest of IEEE Solid-State Circuits Conference (ISSCC), Feb. 2004, pp. 208–209.
[16] C.-K. Lin and J.-F. Li, “Design of low-power ternary content addressable memories with 10T cells,” in Proc. 16th VLSI/CAD Symp., (Hualien), Aug. 2005.
[17] Y.-L. Hsiao and C.-W. Jen, “Power modeling and low power design of content addressable memories,’ in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), 2001, vol. 4, pp. 926–929.
[18] Shafai F., Schultz K. J., Gibson G. F. R., Bluschke A. G., and Somppi D. E. “Fully parallel 30-MHz, 2.5-Mb CAM,” IEEE J. Solid-State Circuits (JSSC), vol. 33, no. II, pp. 1690-1696, Nov. 1998.
[19] Higuchi H., Tachibana S., Minnmi M., and Nagano T. “A 2-ns, 5mW, synchronous-powered static-circuit fully associative TLB,” in Proc. IEEE Symposium on VLSI Circuits, June 1995, pp. 21-22.
[20] J.-S. Wang and C.-H. Huang, “High-speed and low-power CMOS priority encoders,” IEEE J. Solid-State Circuits, vol.35, no.10, pp. 1511-1514, Oct. 2000.
[21] D. Vijayasarathi, M. Nourani, M. Akhbarizadeh, and P. Balsara, ”Ripple- precharge TCAM: a low-power solution for network search engines,” in Proc. of International Conference on Computer Design (ICCD), (San Jose, CA), Oct. 2005, pp. 243-258.
[22] Y.-J. Chang, Y.-H. Liao, and S.-J. Ruan, “Improve CAM power efficiency using decoupled match line scheme,” in Proc. IEEE/ACM Design, Automation and Test in Europe (DATE), (Nice), Mar. 2007, pp.165-170.
[23] P.-T. Huang, W.-K. Chang, and W. Hwnag, “Low power pre-comparison scheme for NOR-Type 10T content addressable memory,” in Proc. IEEE Asia-Pacific Conference on Circuits and Systems (APCCA), (Singapore), Dec. 2006, pp. 1301-1304.
[24] K. R. Viveka, Abhilasha Kawle, and Bharadwaj Amrutur, “Low power pipelined TCAM employing mismatch dependent power allocation technique,” in Proc. IEEE International Conference on VLSI Design, (Bangalore), Jan. 2007, pp. 638-646.
[25] C. W. Zhang, Y. Z. Ye, and B. D. Liu, “A Low-power technique based on charge injection and current-saving methods for match-line sensing in content-addressable memories,” in Proc. IEEE Asia-Pacific Conference on Circuits and Systems (APCCA), (Singapore), Dec. 2006, pp. 1295-1298.
[26] Y.-J. Chang, “Two-Layer hierarchical matching method for energy-efficient CAM design,” IEE Electronics Letters, vol. 43, no. 2, pp. 80-82, Jan. 2007.
[27] J.-S. Wang, C.-C. Wang, and C.-W. Yeh, “TCAM for IP-address lookup using tree-style AND-type match lines and segmented search lines,” in Digest IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2006, pp. 166–167.
[28] V. Chaudhary and Lawrence T. Clark, “Low-power high-performance NAND match line content addressable memories,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 14, no. 8, pp.895-905, Aug. 2006.
[29] S.-W. Chang, P.-T. Huang, and W. Hwang, “A novel butterfly match-line scheme with don't-care based hierarchical search-line for TCAM”, in Proc. 17th VLSI/CAD Symp., Aug 2006, pp. 286-289.
[30] B. Agrawal and T. Sherwood, “Modeling TCAM Power for Next Generation Network Devices,” in Proc. IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2006, pp. 120–129.
指導教授 李進福(Jin-Fu Li) 審核日期 2007-7-25
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明