博碩士論文 105581602 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:16 、訪客IP:18.117.93.73
姓名 辛耶兒(Indraneel Sanyal)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱
(Epitaxy and characterization of III-nitride high electron mobility transistors on silicon for RF applications)
相關論文
★ 磷化銦異質接面雙極性電晶體元件製作與特性分析★ 氮化鎵藍紫光雷射二極體之製作與特性分析
★ 氮化銦鎵發光二極體之研製★ 氮化銦鎵藍紫光發光二極體的載子傳輸行為之研究
★ 次微米磷化銦/砷化銦鎵異質接面雙極性電晶體自我對準基極平台開發★ 以 I-Line 光學微影法製作次微米氮化鎵高電子遷移率電晶體之研究
★ 矽基氮化鎵高電子遷移率電晶體 通道層與緩衝層之成長與材料特性分析★ 磊晶成長氮化鎵高電子遷移率電晶體 結構 於矽基板過程晶圓翹曲之研析
★ 氮化鎵/氮化銦鎵多層量子井藍光二極體之研製及其光電特性之研究★ 砷化銦量子點異質結構與雷射
★ 氮化鋁鎵銦藍紫光雷射二極體研製與特性分析★ p型披覆層對量子井藍色發光二極體發光機制之影響
★ 磷化銦鎵/砷化鎵異質接面雙極性電晶體鈍化層穩定性與高頻特性之研究★ 氮化鋁中間層對氮化鋁鎵/氮化鎵異質接面場效電晶體之影響
★ 不同濃度矽摻雜之氮化鋁銦鎵位障層對紫外光發光二極體發光機制之影響★ 二元與四元位障層應用於氮化銦鎵綠光二極體之光性分析
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 在高功率和高頻應用中,逐漸地要使用基於GaN的高電子遷移率電晶體(HEMT)。電子傳輸特性在RF和功率開關性能中起著重要作用。而高的電子遷移率能減少電子從汲極到源極的傳輸時間且高電子密度可提高跨導和電流密度。因此,就磊晶而言,在設計新型電晶體中電子傳輸特性的好壞是非常重要的。另外AlGaN/GaN異質結構通常在2100 cm2 / Vs以上顯示出電子遷移率,但在含銦的異質結構中卻沒有那麼明顯。GaN通道,異質界面和勢壘均值電子散射。因此,克服含銦異質結構中有限的傳輸性能是很重要的。
此外,AlGaN / GaN異質結構可能是研究異質界面的出色工具。因此,首先通過在不同的生長條件下調製本質氮化鎵的表面缺陷,例如在氮氣或氫氣下磊晶氮化鎵或使用不同Ga的前驅物,來控制限制在AlGaN / GaN量子阱中的影響2DEG散射的異質界面特性。而在磊晶不同的Ga前驅物的試片中從二次離子質譜(SIMS)深度曲線表明,通過在厚的GaN緩衝層末端將載氣從H2更改為N2,可將殘留碳濃度從4x1017 cm-3降低到〜2x1017 cm-3。TEG的使用進一步降低了GaN通道中的殘留碳濃度,變為6.4x1016 cm-3。在樣品中GaN通道分別在H2環境中與TMG和TEG。一起生長的情況下,在10K下的遷移率分別達到7900 cm2 / Vs和9360 cm2 / Vs。更進一步將載氣從H2轉化為N2,在帶有TEG生長的GaN通道的樣品中,遷移率達到28000。 cm2 /Vs。但是,與在氮氣相環境中磊晶的樣品中發現2DEG下降了46%,是因為AlGaN勢壘中的Al含量降低。對散射機制研究表明,三個樣品中霍爾遷移率的一部分介於9.2x103 cm2 / Vs至3.4x104 cm2 / Vs之間,有未知的散射機制控制。不能通過考慮所有常規的散射機制(如聲子-聲子散射,界面堆疊散射,位錯深入分析表明,GaN/AlN/AlGaN的界面帶電會嚴重影響取向電子的散射。與在H2環境中使用TMG生長的GaN溝道相比,在H2和N2環境中使用TEG生長GaN氮化鎵通道/界面時,從與溫度相關的霍爾遷移率中提取的界面特性表示,帶電狀態降低了29%和80%。從而,在製造的高電子遷移速率電晶體上進行的與溫度有關的次臨界斜率中估計界面狀態與從與溫度有關的霍爾測量中擷取的帶電介面狀態非常吻合,因此進一步支持了這一假設。這也提供了通過III族氮充分了解GaN異質結構中的這種新散射機制,可能導致將來設計高效的III型氮化物器件。
AlInN位障層的主要問題是由於不互融性所導致。這導致位障層內部銦的不均勻,並導致電性能下降。與AlInN相比,AlGaN是最穩定的合金。因此,這兩種合金的組合可以提高四元AlInGaN合金的熱力學穩定性。通過向AlInN合金中添加少量Ga,AlInGaN / GaN異質結構的幾位作者已經報告了電子遷移率的顯著改善。然而高電子遷移率電晶體的四元化合物得位障層設計和磊晶可能很困難。因為與晶格匹配的AlInN相比,文獻中關於材料的成分尚無共識。 這種複雜合金的設計也沒有指導方針。 我們提出了一種新的機制,其中熱力學穩定性在控制這些異質結構中的電子傳輸性質中起著重要作用。 對於各種成分(0.5≤Al≤0.8,In = 0.2,0.15,0.1),已經對AlInGaN勢壘的熱力學穩定性進行了定量研究當Ga原子僅取代Al原子時,觀察到熱力學穩定性也緩慢變化。當同時Al0.83In0.17N層中將銦原子替換已經發現,由於位障層中總彈性應力的顯著降低,Al含量在65%至70%範圍內且摻入含量為10%時,可以觀察到熱力學穩定性的顯著改善。例如,如本文中的實驗觀察所證明的,其導致的最高電子遷移率,即電子遷移率2,090 cm2 / Vs,片載流子密度。為1.09×1013 cm-2。因此,除了通常觀察到的散射機制之外,熱力學穩定性至少可以部分地保持為AlInGaN / GaN異質結構中電子遷移率的持續改善的原因。
由這些AlInGaN / AlN / GaN異質結構製成的高電子遷移率半導體,生長在150 mm p型低電阻率(電阻率〜20-100Ω-cm)矽基板上,展示了最新的Johnson的圖形。功績(JFOM)。三端崩潰擊穿電壓分別為69 V和127 V,電流增益終止頻率(fT)為83 GHz和63 GHz,功率增益頻率(fmax)為95 GHz和77 GHz,因為在閘極寬度長度為0.16μm並且閘極到汲極至距離距離分別為2μm和4μm的元件上實現了5.7 THz-V和8.1 THz-V如此高的JFOM。分析表明,較高的JFOM可能是由於在N2環境下使用TEG生長的GaN通道,使通道具有優異的電子傳輸性能和較低的殘留碳濃度。 2D元件仿模擬進一步表明,GaN通道中的陷阱密度應處於1016 cm-3的較低級,以避免寄生電荷對fT的影響。 對於具有相似柵極長度的器件,fT和J-FOM與在高電阻率矽和SiC基板上獲得的報告值相當或更好。從而,由於強的TCAD大信號輸出功率模擬表明,即使存在寄生摻雜和導電矽基板,也可以通過最大程度地減少GaN摻雜中的缺陷和自由微小的載流子來顯著提高輸出功率。我們進一步提出了一種改進的等效電路模型,以考慮GaN和AlGaN摻雜的電導率。因此,此研究為在電晶體的低電阻矽基板上實現毫米波GaN HEMT提供了新的觀點。
摘要(英) The use of GaN-based high electron mobility transistors (HEMTs) is inevitable in high power and high-frequency applications. Electron transport properties play a significant role in determining the RF and power switching performances. High electron mobility reduces the electron transit time between the drain and the source. Whereas, high electron density improves the transconductance and current density. Therefore, in terms of epitaxy, electron transport properties are paramount in designing state-of-the-art transistors. Although, AlGaN barrier heterostructure routinely exhibits electron mobility above 2100 cm2/V-s, the same is not so obvious in the indium containing heterostructures. The GaN channel, the heterointerface and the barrier, all contribute to electron scattering. Therefore, it is important to address each one of these to overcome the limited transport properties in indium containing heterostructures.
Furthermore, the scattering mechanisms in indium containing thin barrier heterostructures could be complex. Whereas, AlGaN/GaN heterostructures may be an excellent tool to study the heterointerface. Therefore, the heterointerface characteristics that influence the scattering of 2DEG, confined in the AlGaN/GaN quantum well, are first controlled by modulating the surface reconstruction of the intrinsic GaN channel under different in-situ growth conditions such as growing the GaN channel under either nitrogen or hydrogen ambient and with different Ga precursors such as trimethylgallium (TMG) and triethylgallium (TEG). The secondary ion mass spectroscopy (SIMS) depth profiles indicate that, by changing the carrier gas from H2 to N2 at the end of the thick GaN buffer, reduces the residual carbon concentration from 4x1017 cm-3 to ~2x1017 cm-3. The use of TEG resulted in further reduction of residual carbon concentration in the GaN channel down to 6.4x1016 cm-3. The mobility at 10K reaches up to 7900 cm2/V-s and 9360 cm2/V-s in samples where the GaN channel is grown with TMG and TEG respectively, both in H2 ambient. Further changing the carrier gas from H2 to N2, the mobility reaches up to 28000 cm2/V-s in the sample with TEG grown GaN channel. However, a 46% drop in 2DEG is also observed as compared to the samples grown in H2 ambient due to lower Al content in the AlGaN barrier. A systematic study of the scattering events reveals that a component of the Hall mobility, which ranges between 9.2x103 cm2/V-s to 3.4x104 cm2/V-s among the three samples is governed by unknown scattering events. This cannot be explained completely by considering all the conventional scattering mechanisms such as phonon-phonon scattering, interface roughness scattering, dislocation density scattering etc. An in-depth analysis reveals a significant scattering of channel electrons by the charged states at the GaN/AlN/AlGaN interface. The extracted interface states from the temperature-dependent Hall mobility indicates 29% and 80% reduction in charged states when the GaN channel/interface is grown using TEG in H2 and N2 ambient respectively as compared to the GaN channel grown with TMG in H2 ambient. Furthermore, the estimated interface states from the temperature-dependent subthreshold slops conducted on the fabricated high electron mobility transistors are in good agreement with the charged interface states extracted from the temperature-dependent Hall measurements and therefore, further supports the postulate. This also provides experimental evidence of electron scattering by the charged interface states in III-nitride heterostructures. A good understanding of this new scattering mechanism in GaN heterostructure may help in designing high-performance III-nitride devices in the future.
The main problem with the AlInN barrier is indium segregation due to the highest immiscibility. This results in compositional inhomogeneity within the barrier and often responsible for electrical performance degradation. It is known that AlGaN is the most stable alloy as compared to AlInN. Therefore, a combination of these two alloys may increase the thermodynamic stability in the quaternary AlInGaN alloy. Significant improvement in electron mobility has been reported by several authors in AlInGaN/GaN heterostructures by adding small amount of Ga to the AlInN alloy. However, design and epitaxy of a quaternary barrier for the high electron mobility transistors can be difficult. Moreover, there is no agreement on the composition of the material in the literature as opposed to the lattice-matched AlInN. There was no guideline as well on the design of this complex alloy. We propose a new mechanism where thermodynamic stability plays an important role in controlling the electron transport properties in these heterostructures. A quantitative investigation of the thermodynamic stability of AlInGaN barrier has been carried out analytically, for a wide range of compositions (0.5 ≤ Al ≤ 0.8, In = 0.2, 0.15, 0.1). A slow change in the thermodynamic stability is observed when the Ga atoms replace only the Al atoms. In contrast, a significant improvement in thermodynamic stability is observed, when the indium atoms are replaced by the Ga atoms in the same Al0.83In0.17N layer. It is found that Al content in the range of 65% to 70% with 10% indium exhibit the highest thermodynamic stability within the calculated composition range owing to the significant reduction in total elastic strain in the barrier. Thereby, it leads to the highest electron mobility, as evidenced by the experimental observations in this work, i.e. electron mobility of 2,090 cm2/V-s with a sheet carrier density of 1.09x1013 cm-2. Therefore, the thermodynamic stability apart from commonly observed scattering mechanisms may at least be partially held responsible for the consistent improvement in electron mobility in AlInGaN/GaN heterostructures.
The fabricated high electron mobility transistors out of these AlInGaN/AlN/GaN heterostructures, grown on 150 mm p-type low resistivity (resistivity~ 20-100 Ω-cm) silicon substrate demonstrate state-of-the-art Johnson’s figure-of-merit (JFOM). Current gain cut-off frequency (fT) of 83 GHz and 63 GHz and power gain cut-off frequency (fmax) of 95 GHz and 77 GHz with a three-terminal off-state breakdown voltage of 69 V and 127 V, resulting in a high JFOM of 5.7 THz-V and 8.1 THz-V are achieved on the devices with a gate length of 0.16 m and gate to drain distance of 2 m and 4 m, respectively. The analysis suggests that the high JFOM could be due to the superior electron transport properties and lower residual carbon concentration in the channel due to the use of TEG grown GaN channel in N2 ambient. 2D device simulation further shows that the trap density in the GaN channel should be in the lower order of 1016 cm-3 to avoid the effect of parasitic charge modulation on fT. The fT and J-FOM are comparable or better than the reported values obtained on high resistivity silicon and SiC substrates for devices with similar gate length. On the other hand, the GaN-on-Si HEMTs on the LR-Si substrate exhibit lower power gain and power-added efficiency due to strong capacitive coupling effects. TCAD large signal output power simulation indicates significant improvements in output power by minimizing the defects and free charge carriers in the GaN buffer even in the presence of the parasitic channel conduction and the conductive silicon substrate. We further propose a modified equivalent circuit model of the parasitic conduction to take into account the conductivity of the GaN and AlGaN buffer. Therefore, this study shows a new viewpoint on realizing mm-wave GaN HEMTs on low resistivity silicon substrate for next-generation transistors.
關鍵字(中) ★ GaN
★ HEMT
★ GaN on Silicon
★ RF HEMT
★ AlInGaN
關鍵字(英)
論文目次 Abstract ii
Acknowledgement v
Table of Content vii
List of Figures x
List of Tables xiii
Chapter 1 Introduction 1
1.1 Motivation 1
1.1.1 Challenges for GaN-based materials 1
1.1.2 Carbon impurity and related defects in GaN 3
1.2 RF GaN transistors on low resistivity silicon 5
1.3 Emerging III-nitride heterostructures 8
1.4 Dissertation structure 9
Chapter 2 Residual carbon in MOCVD grown GaN 10
2.1 Correlation between carbon concentration and growth conditions 10
2.1.1 The effects of MOCVD growth parameters 10
2.2 Theory and modeling of carbon incorporation 18
2.2.1 The surface reconstruction of GaN 18
2.3 The thermodynamic model of impurity incorporation 23
2.4 The effects of Ga precursor 27
2.4.1 TMG decomposition mechanism 27
2.4.2 TEG decomposition mechanism 28
2.4.3 Carbon incorporation by TMG and TEG 29
2.5 Summary 30
Chapter 3 Charged interface states limited scattering 32
3.1 Introduction 32
3.2 Experimental Details 33
3.2.1 Epitaxial Growth 33
3.2.2 Device Fabrication 34
3.3 Result and Discussion 34
3.3.1 Temperature dependent Hall Measurements 34
3.3.2 Analysis of dominant scattering 36
3.3.3 Scattering by charged interface states 39
3.3.4 Temperature dependent ID-VG measurements 42
3.4 Summary 46
Chapter 4 Thermodynamic stability and transport properties 48
4.1 Introduction 48
4.2 Experimental Details 50
4.2.1 Epitaxial Growth 50
4.3 Modeling, Result and Discussion 52
4.3.1 Thermodynamic stability in Al(Ga)InN system 52
4.3.2 Hall effect measurements 56
4.3.3 Correlation between thermodynamic stability and electron scattering 58
4.4 Summary 60
Chapter 5 High JFOM mm-wave HEMT 62
5.1 Introduction 62
5.2 Experimental Details 64
5.2.1 Epitaxial Growth 64
5.2.2 Device Fabrication 66
5.3 Device Characterization 67
5.3.1 DC Characterization 67
5.3.2 RF Characterizations 69
5.3.3 Effects of residual carbon on FT 71
5.4 Large signal power performance 74
5.4.1 Large signal load-pull measurements 74
5.4.2 Parasitic loading effects 76
5.4.3 Physical origin 77
5.4.4 Possible solutions 77
5.5 Summary 80
Chapter 6 Conclusion and future works 82
6.1 Conclusion 82
6.2 Future works 84
References 96
Appendix 1 2DEG formation in B(Al,Ga)N/ GaN heterostructures 96
1.1 Introduction 96
1.2 Epitaxial Structures 97
1.3 Lattice constant of BAlGaN Alloy 99
1.4 Polarization in B(Al,Ga)N alloys 100
1.4.1 Spontaneous polarization 100
1.4.2 Piezoelectric polarization 103
1.5 2DEG formation 106
1.6 Summary 110
參考文獻 [1] T. Palacios, U. K. Mishra, and G. K. Sujan, "GaN-Based Transistors for High-Frequency Applications," in Reference Module in Materials Science and Materials Engineering, ed: Elsevier, 2016.

[2] M. J. Rosker, J. D. Albrecht, E. Cohen, J. Hodiak, and T. Chang, "DARPA′s GaN technology thrust," in 2010 IEEE MTT-S International Microwave Symposium, 2010, pp. 1214-1217.

[3] E. Acurio, F. Crupi, N. Ronchi, B. De Jaeger, B. Bakeroot, S. Decoutere, et al., "Reliability improvements in AlGaN/GaN Schottky barrier diodes with a gated edge termination," IEEE Transactions on Electron Devices, vol. 65, pp. 1765-1770, 2018.

[4] I. C. Diallo and D. O. Demchenko, "Native Point Defects in GaN: A Hybrid-Functional Study," Physical Review Applied, vol. 6, p. 064002, 12/07/ 2016.

[5] Y. Jia, Y. Xu, K. Lu, Z. Wen, A.-D. Huang, and Y.-X. Guo, "Characterization of buffer-related current collapse by buffer potential simulation in AlGaN/GaN HEMTs," IEEE Transactions on Electron Devices, vol. 65, pp. 3169-3175, 2018.

[6] D. Bisi, M. Meneghini, C. De Santi, A. Chini, M. Dammann, P. Brueckner, et al., "Deep-level characterization in GaN HEMTs-part I: advantages and limitations of drain current transient measurements," IEEE Transactions on electron devices, vol. 60, pp. 3166-3175, 2013.

[7] C. Koller, G. Pobegen, C. Ostermaier, M. Huber, and D. Pogany, "The interplay of blocking properties with charge and potential redistribution in thin carbon-doped GaN on n-doped GaN layers," Applied Physics Letters, vol. 111, p. 032106, 2017.

[8] J. L. Lyons, A. Janotti, and C. G. Van de Walle, "Carbon impurities and the yellow luminescence in GaN," Applied Physics Letters, vol. 97, p. 152108, 2010/10/11 2010.

[9] J. Cheng, X. Yang, L. Sang, L. Guo, J. Zhang, J. Wang, et al., "Growth of high quality and uniformity AlGaN/GaN heterostructures on Si substrates using a single AlGaN layer with low Al composition," Scientific reports, vol. 6, p. 23020, 2016.

[10] J.-T. Chen, I. Persson, D. Nilsson, C.-W. Hsu, J. Palisaitis, U. Forsberg, et al., "Room-temperature mobility above 2200 cm2/V· s of two-dimensional electron gas in a sharp-interface AlGaN/GaN heterostructure," Applied Physics Letters, vol. 106, p. 251601, 2015.

[11] E. Ahmadi, H. Chalabi, S. W. Kaun, R. Shivaraman, J. S. Speck, and U. K. Mishra, "Contribution of alloy clustering to limiting the two-dimensional electron gas mobility in AlGaN/GaN and InAlN/GaN heterostructures: Theory and experiment," Journal of Applied Physics, vol. 116, p. 133702, 2014.

[12] R. Tülek, A. Ilgaz, S. Gökden, A. Teke, M. K. Öztürk, M. Kasap, et al., "Comparison of the transport properties of high quality AlGaN/AlN/GaN and AlInN/AlN/GaN two-dimensional electron gas heterostructures," Journal of Applied Physics, vol. 105, p. 013707, 2009.

[13] F. Kaess, S. Mita, J. Xie, P. Reddy, A. Klump, L. H. Hernandez-Balderrama, et al., "Correlation between mobility collapse and carbon impurities in Si-doped GaN grown by low pressure metalorganic chemical vapor deposition," Journal of Applied Physics, vol. 120, p. 105701, 2016/09/14 2016.

[14] G. Li, A. Kusaba, P. Kempisty, M. R. Von Spakovsky, and Y. Kangawa, "CH4 Adsorption Probability on GaN (0001) and (000− 1) during Metalorganic Vapor Phase Epitaxy and Its Relationship to Carbon Contamination in the Films," Materials, 2019.

[15] D. Koleske, A. Wickenden, R. Henry, and M. Twigg, "Influence of MOVPE growth conditions on carbon and silicon concentrations in GaN," Journal of crystal growth, vol. 242, pp. 55-69, 2002.

[16] I. S. Y.-C. L. Y.-C. L. J.-I. Chyi, "Improving the Performance of AlInN/GaN and AlInGaN/GaN HEMTs by Using a Triethylgallium-Grown Channel Layer and Barrier," in 2018 International Symposium on Growth of III-Nritride (ISGN-7), 2018.

[17] Y. Chen, I. Sanyal, and J. Chyi, "Enhanced Electrical Properties of AlInN/AlN/GaN Heterostructure using AlxGa1-xNAlyGa1-yN superlattice," in 2019 Compound Semiconductor Week (CSW), 2019, pp. 1-2.

[18] P. Reddy, S. Washiyama, F. Kaess, R. Kirste, S. Mita, R. Collazo, et al., "Point defect reduction in MOCVD (Al)GaN by chemical potential control and a comprehensive model of C incorporation in GaN," Journal of Applied Physics, vol. 122, p. 245702, 2017/12/28 2017.

[19] A. Kusaba, Y. Kangawa, P. Kempisty, H. Valencia, K. Shiraishi, Y. Kumagai, et al., "Thermodynamic analysis of (0001) and GaN metalorganic vapor phase epitaxy," Japanese Journal of Applied Physics, vol. 56, p. 070304, 2017.

[20] K. Sekiguchi, H. Shirakawa, Y. Yamamoto, M. Araidai, Y. Kangawa, K. Kakimoto, et al., "First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN," Journal of Crystal Growth, vol. 468, pp. 950-953, 2017.

[21] P. Kempisty, Y. Kangawa, A. Kusaba, K. Shiraishi, S. Krukowski, M. Bockowski, et al., "DFT modeling of carbon incorporation in GaN(0001) and GaN(000 1¯) metalorganic vapor phase epitaxy," Applied Physics Letters, vol. 111, p. 141602, 2017/10/02 2017.

[22] A. Stegmüller, P. Rosenow, and R. Tonner, "A quantum chemical study on gas phase decomposition pathways of triethylgallane (TEG, Ga (C 2 H 5) 3) and tert-butylphosphine (TBP, PH 2 (t-C 4 H 9)) under MOVPE conditions," Physical Chemistry Chemical Physics, vol. 16, pp. 17018-17029, 2014.

[23] Ö. Danielsson, X. Li, L. Ojamäe, E. Janzén, H. Pedersen, and U. Forsberg, "A model for carbon incorporation from trimethyl gallium in chemical vapor deposition of gallium nitride," Journal of Materials Chemistry C, vol. 4, pp. 863-871, 2016.

[24] Y. Kangawa, T. Ito, A. Taguchi, K. Shiraishi, and T. Ohachi, "A new theoretical approach to adsorption–desorption behavior of Ga on GaAs surfaces," Surface science, vol. 493, pp. 178-181, 2001.

[25] C. G. Van de Walle and J. Neugebauer, "First-principles calculations for defects and impurities: Applications to III-nitrides," Journal of Applied Physics, vol. 95, pp. 3851-3879, 2004/04/15 2004.

[26] S. Mita, R. Collazo, A. Rice, R. F. Dalmau, and Z. Sitar, "Influence of gallium supersaturation on the properties of GaN grown by metalorganic chemical vapor deposition," Journal of Applied Physics, vol. 104, p. 013521, 2008/07/01 2008.

[27] Q. An, A. Jaramillo-Botero, W.-G. Liu, and W. A. Goddard III, "Reaction Pathways of GaN (0001) Growth from Trimethylgallium and Ammonia versus Triethylgallium and Hydrazine Using First Principle Calculations," The Journal of Physical Chemistry C, vol. 119, pp. 4095-4103, 2015.

[28] S. J. Tan, D. Do, and D. Nicholson, "A new kinetic Monte Carlo scheme with Gibbs ensemble to determine vapour–liquid equilibria," Molecular Simulation, vol. 43, pp. 76-85, 2017.

[29] U. K. Mishra, P. Parikh, and Y.-F. Wu, "AlGaN/GaN HEMTs-an overview of device operation and applications," Proceedings of the IEEE, vol. 90, pp. 1022-1031, 2002.

[30] J. W. Chung, W. E. Hoke, E. M. Chumbes, and T. Palacios, "AlGaN/GaN HEMT With 300-GHz fmax," IEEE Electron Device Letters, vol. 31, pp. 195-197, 2010.

[31] F. Lecourt, A. Agboton, N. Ketteniss, H. Behmenburg, N. Defrance, V. Hoel, et al., "Power performance at 40 GHz on quaternary barrier InAlGaN/GaN HEMT," IEEE Electron Device Letters, vol. 34, pp. 978-980, 2013.
[32] H. Amano, Y. Baines, E. Beam, M. Borga, T. Bouchet, P. R. Chalker, et al., "The 2018 GaN power electronics roadmap," Journal of Physics D: Applied Physics, vol. 51, p. 163001, 2018.

[33] I. Sanyal, Y.-C. Lee, Y.-C. Chen, and J.-I. Chyi, "Achieving high electron mobility in AlInGaN/GaN heterostructures: The correlation between thermodynamic stability and electron transport properties," Applied Physics Letters, vol. 114, p. 222103, 2019.

[34] M. Gonschorek, J.-F. Carlin, E. Feltin, M. Py, and N. Grandjean, "High electron mobility lattice-matched Al In N∕ Ga N field-effect transistor heterostructures," Applied physics letters, vol. 89, p. 062106, 2006.

[35] E. A. Henriksen, S. Syed, Y. Ahmadian, M. J. Manfra, K. W. Baldwin, A. M. Sergent, et al., "Acoustic phonon scattering in a low density, high mobility AlGaN∕GaN field-effect transistor," Applied Physics Letters, vol. 86, p. 252108, 2005/06/20 2005.

[36] Y. J. Chai, Y. H. Zan, and S. L. Ban, "Effect of optical phonons scattering on electron mobility in asymmetric AlGaN/GaN quantum wells," Superlattices and Microstructures, vol. 139, p. 106398, 2020/03/01/ 2020.

[37] L. Wang, W. Hu, X. Chen, and W. Lu, "Analysis of Interface Scattering in AlGaN/GaN/InGaN/GaN Double-Heterojunction High-Electron-Mobility Transistors," Journal of Electronic Materials, vol. 41, pp. 2130-2138, 2012/08/01 2012.

[38] B. Yang, Y.-H. Cheng, Z.-G. Wang, J.-B. Liang, Q.-W. Liao, L.-Y. Lin, et al., "Influence of Interface Roughness Scattering on Electron Mobility in GaAs-Al0.3Ga0.7 as Two Dimensional Electron Gas (2DEG) Heterostructures," MRS Proceedings, vol. 355, p. 545, 2011.

[39] S. W. Kaun, P. G. Burke, M. Hoi Wong, E. C. H. Kyle, U. K. Mishra, and J. S. Speck, "Effect of dislocations on electron mobility in AlGaN/GaN and AlGaN/AlN/GaN heterostructures," Applied Physics Letters, vol. 101, p. 262102, 2012/12/24 2012.

[40] S. B. Lisesivdin, A. Yildiz, N. Balkan, M. Kasap, S. Ozcelik, and E. Ozbay, "Scattering analysis of two-dimensional electrons in AlGaN/GaN with bulk related parameters extracted by simple parallel conduction extraction method," Journal of Applied Physics, vol. 108, p. 013712, 2010/07/01 2010.

[41] C. Wood and D. Jena, Polarization effects in semiconductors: from ab initio theory to device applications: Springer Science & Business Media, 2007.
[42] E. Tiras, S. Ardali, E. Arslan, and E. Ozbay, "Energy Relaxation Rates in AlInN/AlN/GaN Heterostructures," Journal of Electronic Materials, vol. 41, pp. 2350-2361, 2012/09/01 2012.

[43] P. Cui, Y. Lv, C. Fu, H. Liu, A. Cheng, C. Luan, et al., "Effect of Polarization Coulomb Field Scattering on Electrical Properties of the 70-nm Gate-Length AlGaN/GaN HEMTs," Scientific Reports, vol. 8, p. 12850, 2018/08/27 2018.

[44] P. Cui, Y. Lv, H. Liu, A. Cheng, C. Fu, and Z. Lin, "Improved Linearity with Polarization Coulomb Field Scattering in AlGaN/GaN Heterostructure Field-Effect Transistors," Scientific Reports, vol. 8, p. 983, 2018/01/17 2018.

[45] E. Ahmadi, S. Keller, and U. K. Mishra, "Model to explain the behavior of 2DEG mobility with respect to charge density in N-polar and Ga-polar AlGaN-GaN heterostructures," Journal of Applied Physics, vol. 120, p. 115302, 2016/09/21 2016.

[46] H. S. Haddara and M. El-Sayed, "Conductance technique in MOSFETs: Study of interface trap properties in the depletion and weak inversion regimes," Solid-State Electronics, vol. 31, pp. 1289-1298, 1988/08/01/ 1988.

[47] W. M. Waller, S. Karboyan, M. J. Uren, K. B. Lee, P. A. Houston, D. J. Wallis, et al., "Interface State Artefact in Long Gate-Length AlGaN/GaN HEMTs," IEEE Transactions on Electron Devices, vol. 62, pp. 2464-2469, 2015.

[48] J. W. Chung, X. Zhao, and T. Palacios, "Estimation of Trap Density in AlGaN/GaN HEMTs from Subthreshold Slope Study," in 2007 65th Annual Device Research Conference, 2007, pp. 111-112.

[49] O. S. Koksaldi, J. Haller, H. Li, B. Romanczyk, M. Guidry, S. Wienecke, et al., "N-Polar GaN HEMTs Exhibiting Record Breakdown Voltage Over 2000 V and Low Dynamic On-Resistance," IEEE Electron Device Letters, 2018.

[50] Y. Yue, Z. Hu, J. Guo, B. Sensale-Rodriguez, G. Li, R. Wang, et al., "InAlN/AlN/GaN HEMTs with regrown ohmic contacts and fT of 370 GHz," IEEE Electron Device Letters, vol. 33, pp. 988-990, 2012.

[51] B. Romanczyk, S. Wienecke, M. Guidry, H. Li, E. Ahmadi, X. Zheng, et al., "Demonstration of constant 8 W/mm power density at 10, 30, and 94 GHz in state-of-the-art millimeter-wave N-polar GaN MISHEMTs," IEEE Transactions on Electron Devices, vol. 65, pp. 45-50, 2018.

[52] P. Sung Park, D. N. Nath, S. Krishnamoorthy, and S. Rajan, "Electron gas dimensionality engineering in AlGaN/GaN high electron mobility transistors using polarization," Applied Physics Letters, vol. 100, p. 063507, 2012.
[53] L. Lugani, J.-F. Carlin, M. A. Py, D. Martin, F. Rossi, G. Salviati, et al., "Ultrathin InAlN/GaN heterostructures on sapphire for high on/off current ratio high electron mobility transistors," Journal of Applied Physics, vol. 113, p. 214503, 2013.

[54] G. Zhao, X. Xu, H. Li, H. Wei, D. Han, Z. Ji, et al., "The immiscibility of InAlN ternary alloy," Scientific Reports, vol. 6, p. 26600, 05/25/online 2016.

[55] A. Minj, D. Cavalcoli, and A. Cavallini, "Indium segregation in AlInN/AlN/GaN heterostructures," Applied Physics Letters, vol. 97, p. 132114, 2010.

[56] A. Senichev, T. Nguyen, R. Diaz, B. Dzuba, M. Shirazi-HD, Y. Cao, et al., "Evolution of indium segregation in metal-polar In0. 17Al0. 83N lattice-matched to GaN grown by plasma assisted molecular beam epitaxy," Journal of Crystal Growth, vol. 500, pp. 52-57, 2018.

[57] J. Xue, Y. Hao, X. Zhou, J. Zhang, C. Yang, X. Ou, et al., "High quality InAlN/GaN heterostructures grown on sapphire by pulsed metal organic chemical vapor deposition," Journal of Crystal Growth, vol. 314, pp. 359-364, 2011.

[58] K. Jeganathan, M. Shimizu, H. Okumura, Y. Yano, and N. Akutsu, "Lattice-matched InAlN/GaN two-dimensional electron gas with high mobility and sheet carrier density by plasma-assisted molecular beam epitaxy," Journal of crystal growth, vol. 304, pp. 342-345, 2007.

[59] T. Lim, R. Aidam, L. Kirste, P. Waltereit, R. Quay, S. Müller, et al., "Compositional variation of nearly lattice-matched InAlGaN alloys for high electron mobility transistors," Applied Physics Letters, vol. 96, p. 252108, 2010.

[60] N. Ketteniss, L. R. Khoshroo, M. Eickelkamp, M. Heuken, H. Kalisch, R. Jansen, et al., "Study on quaternary AlInGaN/GaN HFETs grown on sapphire substrates," Semiconductor Science and Technology, vol. 25, p. 075013, 2010.

[61] J. Leitner, J. Stejskal, and P. Voňka, "Thermodynamic modeling of AlGaInN growth by MOVPE," Journal of crystal growth, vol. 267, pp. 8-16, 2004.

[62] T. Takayama, M. Yuri, K. Itoh, T. Baba, and J. Harris Jr, "Analysis of phase-separation region in wurtzite group III nitride quaternary material system using modified valence force field model," Journal of crystal growth, vol. 222, pp. 29-37, 2001.

[63] T. Lim, R. Aidam, P. Waltereit, T. Henkel, R. Quay, R. Lozar, et al., "GaN-based submicrometer HEMTs with lattice-matched InAlGaN barrier grown by MBE," IEEE Electron Device Letters, vol. 31, pp. 671-673, 2010.

[64] R. Asomoza, V. Elyukhin, and R. Pena-Sierra, "Spinodal decomposition in the B x Ga y In 1− x− y As alloys," Applied Physics Letters, vol. 78, pp. 2494-2496, 2001.
[65] R. Asomoza, V. A. Elyukhin, and R. Peña-Sierra, "Spinodal decomposition range of InxGa1−xNyAs1−y alloys," Applied Physics Letters, vol. 81, pp. 1785-1787, 2002.

[66] G. Stringfellow, "Spinodal decomposition and clustering in III/V alloys," Journal of Electronic Materials, vol. 11, pp. 903-918, 1982.

[67] H. Sonomura, H. Uda, A. Sugimura, A. Ashida, H. Horinaka, and T. Miyauchi, "A correlation between the enthalpy of mixing and the internal strain energy in the III‐V alloy semiconductor system," Journal of Applied Physics, vol. 62, pp. 4142-4145, 1987/11/15 1987.

[68] G. B. Stringfellow, "Miscibility gaps and spinodal decomposition in III/V quaternary alloys of the type AxByC1−x−yD," Journal of Applied Physics, vol. 54, pp. 404-409, 1983.

[69] Y. Cao, H. Xing, and D. Jena, "Polarization-mediated remote surface roughness scattering in ultrathin barrier GaN high-electron mobility transistors," Applied Physics Letters, vol. 97, p. 222116, 2010/11/29 2010.

[70] B. Liu, Y. W. Lu, G. R. Jin, Y. Zhao, X. L. Wang, Q. S. Zhu, et al., "Surface roughness scattering in two dimensional electron gas channel," Applied Physics Letters, vol. 97, p. 262111, 2010/12/27 2010.

[71] R. Wang, G. Li, J. Verma, B. Sensale-Rodriguez, T. Fang, J. Guo, et al., "220-GHz quaternary barrier InAlGaN/AlN/GaN HEMTs," IEEE Electron Device Letters, vol. 32, pp. 1215-1217, 2011.

[72] N. Ketteniss, H. Behmenburg, H. Hahn, A. Noculak, B. Hollander, H. Kalisch, et al., "Quaternary Enhancement-Mode HFET With In Situ SiN Passivation," IEEE Electron Device Letters, vol. 33, pp. 519-521, 2012.

[73] J. Zhang, X. Yang, J. Cheng, Y. Feng, P. Ji, A. Hu, et al., "Enhanced transport properties in InAlGaN/AlN/GaN heterostructures on Si (111) substrates: The role of interface quality," Applied Physics Letters, vol. 110, p. 172101, 2017.

[74] O. S. Koksaldi, J. Haller, H. Li, B. Romanczyk, M. Guidry, S. Wienecke, et al., "N-Polar GaN HEMTs Exhibiting Record Breakdown Voltage Over 2000 V and Low Dynamic On-Resistance," IEEE Electron Device Letters, vol. 39, pp. 1014-1017, 2018.

[75] H. Chandrasekar, M. J. Uren, M. A. Casbon, H. Hirshy, A. Eblabla, K. Elgaid, et al., "Quantifying Temperature-Dependent Substrate Loss in GaN-on-Si RF Technology," IEEE Transactions on Electron Devices, vol. 66, pp. 1681-1687, 2019.
[76] S. Arulkumaran, G. I. Ng, S. Vicknesh, H. Wang, K. S. Ang, J. P. Y. Tan, et al., "Direct current and microwave characteristics of sub-micron AlGaN/GaN high-electron-mobility transistors on 8-inch Si (111) substrate," Japanese Journal of Applied Physics, vol. 51, p. 111001, 2012.

[77] A. Eblabla, X. Li, I. Thayne, D. J. Wallis, I. Guiney, and K. Elgaid, "High performance GaN high electron mobility transistors on low resistivity silicon for X -band applications," IEEE Electron Device Letters, vol. 36, pp. 899-901, 2015.

[78] B. J. Baliga, "Power semiconductor device figure of merit for high-frequency applications," IEEE Electron Device Letters, vol. 10, pp. 455-457, 1989.

[79] H. S. Yoon, B.-G. Min, J. M. Lee, D. M. Kang, H.-K. Ahn, H. Kim, et al., "Microwave Low-Noise Performance of 0.17um Gate-Length AlGaN/GaN HEMTs on SiC With Wide Head Double-Deck T-Shaped Gate," IEEE Electron Device Letters, vol. 37, pp. 1407-1410, 2016.

[80] K. Ranjan, S. Arulkumaran, G. I. Ng, and S. Vicknesh, "High Johnson’s figure of merit (8.32 THz· V) in 0.15-µm conventional T-gate AlGaN/GaN HEMTs on silicon," Applied Physics Express, vol. 7, p. 044102, 2014.

[81] M. L. Schuette, A. Ketterson, B. Song, E. Beam, T.-M. Chou, M. Pilla, et al., "Gate-recessed integrated E/D GaN HEMT technology with f T/f max> 300 GHz," IEEE Electron Device Letters, vol. 34, pp. 741-743, 2013.

[82] R. Wang, G. Li, G. Karbasian, J. Guo, B. Song, Y. Yue, et al., "Quaternary Barrier InAlGaN HEMTs With fT/fmax of 230/300 GHz," IEEE Electron Device Letters, vol. 34, pp. 378-380, 2013.

[83] S. Huang, K. Wei, G. Liu, Y. Zheng, X. Wang, L. Pang, et al., "High-f_MAX High Johnson′s Figure-of-Merit 0.2-um Gate AlGaN/GaN HEMTs on Silicon Substrate With AlNSiNx Passivation," IEEE Electron Device Letters, vol. 35, pp. 315-317, 2014.

[84] Y.-K. Lin, S. Noda, C.-C. Huang, H.-C. Lo, C.-H. Wu, Q. H. Luc, et al., "High-performance gan moshemts fabricated with ald al 2 o 3 dielectric and nbe gate recess technology for high frequency power applications," IEEE Electron Device Letters, vol. 38, pp. 771-774, 2017.

[85] C.-W. Tsou, C.-Y. Lin, Y.-W. Lian, and S. S. Hsu, "101-GHz InAlN/GaN HEMTs on silicon with high Johnson’s figure-of-merit," IEEE Transactions on Electron Devices, vol. 62, pp. 2675-2678, 2015.

[86] S. L. Zhao, B. Hou, W. W. Chen, M. H. Mi, J. X. Zheng, J. C. Zhang, et al., "Analysis of the breakdown characterization method in GaN-Based HEMTs," IEEE Transactions on power electronics, vol. 31, pp. 1517-1527, 2015.
[87] M. Singh, M. J. Uren, T. Martin, S. Karboyan, H. Chandrasekar, and M. Kuball, "“Kink” in AlGaN/GaN-HEMTs: Floating Buffer Model," IEEE Transactions on Electron Devices, vol. 65, pp. 3746-3753, 2018.

[88] J. Bergsten, M. Thorsell, D. Adolph, J.-T. Chen, O. Kordina, E. Ö. Sveinbjörnsson, et al., "Electron trapping in extended defects in microwave AlGaN/GaN HEMTs with carbon-doped buffers," IEEE Transactions on Electron Devices, vol. 65, pp. 2446-2453, 2018.

[89] L. D. Nguyen, L. E. Larson, and U. K. Mishra, "Ultra-high speed modulation-doped field-effect transistors: a tutorial review," Proceedings of the IEEE, vol. 80, pp. 494-518, 1992.

[90] M. C. Foisy, P. J. Tasker, B. Hughes, and L. F. Eastman, "The role of inefficient charge modulations in limiting the current-gain cutoff frequency of the MODFET," IEEE Transactions on Electron Devices, vol. 35, pp. 871-878, 1988.

[91] D. C. Dumka and P. Saunier, "GaN on Si HEMT with 65% power added efficiency at 10 GHz," Electronics Letters, vol. 46, pp. 946-947, 2010.

[92] O. Jardel, G. Callet, J. Dufraisse, M. Piazza, N. Sarazin, E. Chartier, et al., "Electrical performances of AlInN/GaN HEMTs. A comparison with AlGaN/GaN HEMTs with similar technological process," International Journal of Microwave and Wireless Technologies, vol. 3, pp. 301-309, 2011.

[93] D. Xiao, D. Schreurs, W. De Raedt, J. Derluyn, M. Germain, B. Nauwelaers, et al., "Detailed analysis of parasitic loading effects on power performance of GaN-on-silicon HEMTs," Solid-State Electronics, vol. 53, pp. 185-189, 2009/02/01/ 2009.
[94] H. Chandrasekar, "Substrate Effects in GaN-on-Silicon RF Device Technology," International Journal of High Speed Electronics and Systems, vol. 28, p. 1940001, 2019.

[95] L. Wei, X. Yang, J. Shen, D. Liu, Z. Cai, C. Ma, et al., "Al diffusion at AlN/Si interface and its suppression through substrate nitridation," Applied Physics Letters, vol. 116, p. 232105, 2020.

[96] T. T. Luong, F. Lumbantoruan, Y.-Y. Chen, Y.-T. Ho, Y.-C. Weng, Y.-C. Lin, et al., "RF loss mechanisms in GaN-based high-electron-mobility-transistor on silicon: Role of an inversion channel at the AlN/Si interface," physica status solidi (a), vol. 214, p. 1600944, 2017.

[97] F. Berber, D. W. Johnson, K. M. Sundqvist, E. L. Piner, G. H. Huff, and H. R. Harris, "RF Dielectric Loss Due to MOCVD Aluminum Nitride on High Resistivity Silicon," IEEE Transactions on Microwave Theory and Techniques, vol. 65, pp. 1465-1470, 2017.
[98] L. Pattison, T. Boles, N. Tuffy, and G. Lopes, "Improving GaN on Si Power Amplifiers through reduction of parasitic conduction layer," in 2014 9th European Microwave Integrated Circuit Conference, 2014, pp. 92-95.


指導教授 綦振瀛(Jen-Inn Chyi) 審核日期 2021-1-28
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明