博碩士論文 107521029 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:11 、訪客IP:52.15.69.175
姓名 柯佑諺(yuyen Ke)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 具資料獨立相位追蹤補償技術之20 Gb/s 半速率四階脈波振幅調變時脈與資料回復電路
(A 20 Gb/s Half-Rate PAM-4 Clock and Data Recovery with Data Independent Phase Tracking Compensation Technique)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2026-7-31以後開放)
摘要(中) 近年來,隨著科技的蓬勃發展對於傳輸速率的要求日益增加,高速串列傳輸技術取代傳統並列傳輸成為現今主流的傳輸技術。例如HDMI、Displayport、USB、SATA、PCI-Express等。此外隨著資料傳輸速率不斷的提升,為了降低系統所需的頻寬要求,四階脈波振幅調變的資料型態也逐漸被採用來取代非歸零式資料,以應付更高速的傳輸速率。

本論文參考CEI-28G-VSR規格實現一個具資料獨立追蹤補償技術之半速率四階脈波振幅調變時脈與資料回復電路。提出的相位追蹤補償相位偵測器,解決傳統二進位相位偵測器在資料沒有轉態時可能造成高頻抖動容忍度降低的問題,並透過簡化相位偵測器的架構以減少迴路延遲,藉此來提高抖動容忍度的表現。為了傳遞四階脈波振幅調變訊號,本論文提出一臨界電壓自適應系統,透過對四階脈波振幅調變訊號的資料準位進行自適應收斂,再利用電阻分壓的方式收斂出合適的臨界電壓,以利於系統對四階脈波振幅調變訊號進行判斷,藉此來還原出正確的資料,並達到更大的使用彈性。

本論文之實驗晶片使用TSMC 40 nm (TN40G) 1P10M CMOS製程設計,電路操作電壓為0.9 V,輸入資料為20 Gb/s四階脈波振幅調變訊號,並利用PRBS7進行編碼,還原時脈速率為5.0 GHz,還原時脈之抖動峰對峰值為8.93 pspp,方均根植為1.49 psrms,抖動容忍度於佈局前模擬中與傳統二進位相位偵測器相比則有15.63 %的改善,功率消耗為122.84 mW,晶片面積為0.96 mm2,核心電路面積為0.096 mm2。
摘要(英) In recent years, with the rapid development of technology, the demand for transmission rate is increasing. High Speed Serial Link Technology has replaced the parallel transmission and become the mainstream transmission technology, such as HDMI、Displayport、USB、SATA and PCI-Express. In addition, as data rates continue to increase, PAM-4 data is being used to replace NRZ data in order to reduce the bandwidth requirements of the system to meet higher data rate.

This thesis refers to the CEI-28G-VSR specification and presents a half rate PAM-4 clock and data recovery (CDR) with data independent phase tracking compensation technique. The proposed phase tracking compensation phase detector (PTCPD) solves the problem that the conventional bang-bang phase detector (BBPD) may reduce the high frequency jitter tolerance (JTOL) when the input data has long run situation. In addition, by removing the re-timing circuit of the BBPD to reduce the loop latency, the JTOL can be improved. In order to transmit the PAM-4 signal, this thesis presents a threshold voltage adaptive system. This system will adaptively converge the reference levels of the PAM-4 signal. Then, the resistors are used to divide the appropriate threshold voltage for the CDR to detect the PAM-4 signal. With this adaptive system, the PAM-4 CDR can achieve greater flexibility in use.

This chip is fabricated by TSMC 40 nm (TN40G) 1P10M CMOS process with 0.9 V supply voltage and the data rate is 20 Gb/s PRBS7 PAM-4 signal. The recovered clock is 5.0 GHz. The simulated jitter of the recovered clock is 8.93 pspp and 1.19 psrms. In pre-layout simulation, 15.63% improvement in jitter tolerance compared to conventional BBPD. The total power consumption is 122.84 mW, chip area is 0.96 mm2 and the core area is 0.096 mm2.
關鍵字(中) ★ 資料與時脈回復電路
★ 相位偵測器
★ 抖動容忍度
★ 高速串列傳輸
★ 四階脈波振幅調變
關鍵字(英) ★ Clock and data recovery
★ CDR
★ JTOL
★ Jitter tolerance
★ PAM-4
★ Serdes
★ High speed serial link
論文目次 摘要--------------------------- i
Abstract----------------------- ii
誌謝--------------------------- iv
目錄--------------------------- vi
圖目錄------------------------- viii
表目錄------------------------- xi
第1章 緒論--------------------- 1
1.1 研究動機------------------- 1
1.2 論文架構------------------- 4
第2章 高速串列傳輸系統背景介紹---- 5
2.1 隨機二元資料---------------- 5
2.1.1 隨機二元資料特性[5]------- 5
2.1.2 資料格式[5]--------------- 7
2.2 時脈抖動-------------------- 9
2.2.1 隨機抖動------------------ 9
2.2.2 定量性抖動---------------- 10
2.2.3 抖動量測方式[18]---------- 13
2.3 眼圖分析[5]----------------- 17
2.4 誤碼率[19]----------------- 18
2.5 時脈與資料回復電路----------- 20
2.5.1 時脈與資料回復電路簡介[5]-- 20
2.5.2 取樣速率[5]-------------- 21
2.5.3 相位偵測器型態[5]--------- 22
2.5.4 抖動容忍度[5]-[6]--------- 23
2.6 四階脈波振幅調變之時脈與資料回復電路相關設計--- 27
2.6.1 具轉態選擇之時脈與資料回復電路[27]-[28]----- 27
2.6.2 最小均方誤差演算法之時脈與資料回復電路[29]-- 29
2.6.3 積分式相位偵測器之時脈與資料回復電路[30]---- 30
2.7 臨界電壓自適應系統相關設計------------------- 31
2.7.1 利用取樣器之臨界電壓自適應系統[31]---------- 31
2.7.2 利用平均峰對峰擺幅之臨界電壓自適應系統[32][33]--32
2.8 比較與討論--------------------33
第3章 具相位追蹤補償技術之四階脈波振幅調變時脈與資料回復電路設計與實現------------------------ 35
3.1 電路架構-------------------- 35
3.2 系統分析-------------------- 38
3.2.1 頻率資訊迴路系統分析[34]--- 38
3.2.2 相位資訊迴路系統分析[35]--- 41
3.3 行為模擬-------------------- 47
3.4 子電路介紹------------------ 50
3.4.1 相位追蹤補償相位偵測器----- 50
3.4.2 半速率二進位相位偵測器----- 53
3.4.3 相位頻率偵測器------------ 55
3.4.4 電荷幫浦------------------ 56
3.4.5 壓控振盪器---------------- 58
3.4.6 擺幅轉換電路-------------- 60
3.4.7 除頻器-------------------- 61
3.4.8 臨界電壓自適應系統--------- 62
3.4.9 電流模式電容放大技術------- 63
3.5 模擬結果-------------------- 64
3.5.1 佈局前模擬---------------- 65
3.5.2 佈局後模擬---------------- 67
3.5.3 抖動容忍度模擬------------ 69
第4章 晶片佈局與量測------------- 70
4.1 電路佈局-------------------- 70
4.2 晶片封裝-------------------- 71
4.3 佈局及電源規劃-------------- 73
4.4 量測考量-------------------- 74
4.4.1 量測環境----------------- 74
4.4.2 印刷電路板---------------- 75
4.4.3 高頻輸入端---------------- 76
4.4.4 高頻輸出緩衝器------------ 77
4.4.5 低頻輸出緩衝器------------ 78
4.5 規格比較表------------------ 79
第5章 結論--------------------- 81
5.1 結論---------------------- 81
5.2 未來研究方向---------------- 82
參考文獻------------------------ 83
參考文獻 [1]Universal Serial Bus Specification, Revision 3.1, USB-IO, 2013.
[2]Serial ATA International Organization, Serial ATA Revision 3.0, SATA-IO, 2009.
[3]PCI Express® Base Specification, Revision 4.0, PCI-SIG, 2014.
[4]Common Electrical I/O (CEI) – Electrical and Jitter Interoperability agreements for 6G+ bps, 11G+ bps, 25G+ bps I/O and 56G+bps IA, Revision 4.0, Common Electrical-IO,2017.
[5]B. Razavi, Design of Integrated Circuits for Optical Communications, 1st ed. New York, NY, USA: McGraw-Hill, 2003.
[6]N. Da Dalt and A. Sheikholeslami, Understanding Jitter and Phase Noise a Circuits and Systems Perspective, Cambridge, U.K.: Cambridge Univ. Press, 2018.
[7]Tektronix, “數位示波器的應用抖動(jitter)測量”.
[8]B. Razavi, Design of Analog CMOS Integrated Circuits, 2nd ed. New York, NY, USA: McGraw-Hill, 2017.
[9]R. Sarpeshkar, T. Delbruck and C. A. Mead, “White noise in MOS transistors and resistors,” IEEE Circuits and Devices Magazine, vol. 9, no. 6, pp. 23-29, Nov. 1993.
[10]Agilent Technologies, “Jitter Fundamentals: Agilent 81250 ParBERT Jitter Injection and Analysis Capabilities,” Application Note: 5988-9756EN, July 17, 2003
[11]孫世洋, “以符碼間干擾技術實現自適應等化器之5 Gbps半速率時脈與資料回復電路,” 碩士論文, 國立中央大學, 2016.
[12]N. Na, D. M. Dreps and J. A. Hejase, “DC wander effect of DC blocking capacitors on PCIe Gen3 signal integrity,” in Proc. IEEE 63rd Electronic Components and Technology Conference, Aug. 2013, pp. 2063-2068.
[13]Y. Wu, “An improved statistical analysis method for duty cycle distortion jitter analysis,” in Proc. IEEE International Symposium on Consumer Electronics (ISCE), Jul. 2013, pp. 31-32.
[14]N. Radhakrishnan, B. Achkir, J. Fan and J. L. Drewniak, “Stressed jitter analysis for physical link characterization,” in Proc. IEEE International Symposium on Electromagnetic Compatibility, Feb. 2010, pp. 568-572
[15]Maxim Integrated, “Choosing AC-coupling capacitors,” Application Note: HFAN-1.1, 2000.
[16]Agilent Technologies, “Finding sources of jitter with real-time jitter analysis,” 2008.
[17]項學華, “適用於多標準電子設備且具相位補償技術之全數位展頻時脈產生器,” 碩士論文, 國立中央大學, 2019.
[18]Tektronix, “Understanding and characterizing timing jitter”.
[19]SHF Communication Technologies AG, “Application note AN-jitter-1-jitter analysis using SHF 10000 series bit error rate testers,” 2005.
[20]Agilent Technologies, “Measuring jitter in digital systems,” Application Note 1448-1.
[21]H.-J. Jeon, R. Kulkarni, Y.-C. Lo, J. Kim, and J. Silva-Martinez, “A bang-bang clock and data recovery using mixed mode adaptive loop gain strategy,” IEEE Journal of Solid-State Circuits, vol. 48, no. 6, pp. 1398-1415, Jun. 2013.
[22]鄭宇亨, “具資料獨立相位追蹤補償技術之10 Gbps 半速率時脈與資料回復電路,”碩士論文, 國立中央大學, 2018. [23] M. Talegaonkar, R. Inti and P. K. Hanumolu, “Digital clock and data recovery circuit design: challenges and tradeoffs,” in Proc. IEEE Custom Integrated Circuits Conference (CICC), Oct. 2011, pp. 1-8.
[24]鄭柏旻, “具電容放大技術和自適應迴路增益控制器之5 Gbps雙路徑時脈與資料回復電路,” 碩士論文, 國立中央大學, 2017.
[25]J.-H. Yoon, S.-W. Kwon and H.-M. Bae, “A DC-to-12.5 Gb/s 9.76 mW/Gb/s all-rate CDR with a single LC VCO in 90 nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 52, no. 3, pp. 856-866, Mar. 2017.
[26]陳廷宗, “具自適應增益調整之時脈與資料回復電路,” 碩士論文, 國立中央大學, 2015.
[27]D.-H. Kwon, M. Kim, S.-G. Kim and W.-Y. Choi, “A 32-Gb/s PAM-4 quarter-rate clock and data recovery circuit with an input slew-rate tolerant selective transition detector,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 66, no. 3, pp. 362-366, Mar. 2019.
[28]劉威廷, “具對稱波偵測器之10 Gbps全速率四階脈波振幅調變資料與時脈回復電路,” 碩士論文, 國立中央大學, 2019.
[29]P. Liu, J. Guo and Y. Jiang, “Half baud-rate, low BER PAM-4 CDR based on SS-MMSE algorithm,” Electron. Letters, vol. 52, no. 25, pp. 2036-2038, Dec. 2016.
[30]N. Qi et al., “A 51Gb/s, 320mW, PAM4 CDR with baud-rate sampling for high-speed optical interconnects,” in Proc. IEEE Asian Solid-State Circuits Conf. (ASSCC), Nov. 2017, pp. 89-92.
[31]A. Roshan-Zamir et al., “A 56-Gb/s PAM4 receiver with low-overhead techniques for threshold and edge-based DFE FIR- and IIR-tap adaptation in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 54, no. 3, pp. 672-684, Mar. 2019.
[32]P.-J. Peng, J.-F. Li, L.-Y. Chen and J. Lee, “A 56Gb/s PAM-4/NRZ transceiver in 40nm CMOS,” in Proc. IEEE International Solid-State Circuits Conference (ISSCC), Mar. 2017, pp. 110-111.
[33]J. Lee, “Communication integrated circuit”
[34]劉深淵, 楊清淵, 鎖相迴路, 滄海書局, 2006.
[35]J. Lee, K. S. Kundert, and B. Razavi, “Analysis and modeling of bang-bang clock and data recovery circuits,” IEEE Journal of Solid-State Circuits, vol. 39, no. 9, pp.1571-1580, Sept. 2004.
[36]B. Nikolic et al., “Improved sense-amplifier-based flip-flop: design and measurements, ” IEEE Journal of Solid-State Circuits, vol. 35, no. 6, pp. 876-884, Jun. 2000.
[37]J. Lee and K.-C. Wu, “A 20-Gb/s full-rate linear clock and data recovery circuit with automatic frequency acquisition,” IEEE Journal of Solid-State Circuits, vol. 44, no. 12, pp. 3590-3602, Dec. 2009.
[38]Y.-H Kwak, Y. Kim, S. Hwang and C. Kim, “A 20 Gb/s clock and data recovery with a ping-pong delay line for unlimited phase shifting in 65 nm CMOS process,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 60, no. 2, pp. 303-313, Feb. 2013.
[39]K. Park et al., “A 4–20-Gb/s 1.87-pJ/b continuous-rate digital CDR circuit with unlimited frequency acquisition capability in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 56, no. 5, pp. 1597-1607, May 2021.
指導教授 鄭國興(Kuo-Hsing Cheng) 審核日期 2021-9-14
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明