博碩士論文 108521061 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:50 、訪客IP:18.116.62.106
姓名 張維(Wei Chang)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 一個可靠的靜態隨機存取記憶體內運算結構: 設計指南與耐老化策略研究
(A Reliable SRAM In-Memory Computing Architecture: Design Guidelines and Aging-Tolerance Strategies)
相關論文
★ 晶圓圖之網格及稀疏缺陷樣態辨識★ 晶圓圖提取特徵參數錯誤樣態分析
★ 使用聚類過濾策略和 CNN 計算識別晶圓圖瑕疵樣態★ 新建晶圓圖相似性門檻以強化相似程度辨別能力
★ 一個高效的老化偵測器部屬策略: 基於生成對抗網路的設計方法★ 考慮電壓衰退和繞線影響以優化電路時序之電源供應網絡精煉策略
★ 適用於提高自旋轉移力矩式磁阻隨機存取記憶體矩陣可靠度之老化偵測與緩解架構設計★ 8T 靜態隨機存取記憶體之內積運算引擎的老化威脅緩解策略: 從架構及運算角度來提出解決的方法
★ 用於響應穩定性的老化感知平行掃描鏈PUF設計★ 8T靜態隨機存取記憶體運算的老化檢測和容忍機制:適用於邏輯和 MAC 運算的應用
★ 使用擺置後的設計特徵及極限梯度提升演算法預測繞線後的繞線需求
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2026-11-24以後開放)
摘要(中) 記憶體內運算 (In-memory computing, IMC) 能夠透過類比訊號的行為模式在記憶體內部直接做資料的處理,此方法提供了既快速又有效率的布林邏輯運算 (Boolean logic computation)。在眾多記憶體內運算架構中,有一種架構是以靜態隨機存取記憶體 (Static Random Access Memory, SRAM) 為基礎,其行為是使用觀察放電的幅度來實現不同的布林邏輯運算。
從前述可得知,IMC的運算基本上是以類比的行為來進行,所以IMC對於電壓或是電流的變異是十分敏感,因此IC製程、電壓、溫度等變異 (PVT variation) 以及老化效應 (Aging effects) 會對記憶體內部運算造成嚴重的影響,甚至是運算結果的正確性。因此,如何改善記憶體內部運算結果的正確性並延長系統的壽命就成為一個需要被解決的問題。
在本篇論文中,我們主要針對正偏壓溫度不穩定性 (Positive Bias Temperature Instability, PBTI) 老化效應對記憶體內部運算所造成的影響。在觀察不同數量的列對於記憶體內部運算架構的不同效果之後,我們提出了建構基於SRAM的記憶體內部運算架構設計指南以及抗老化效應的方法。其中包含使用Supplemental Transistors (ST)以及透過調節RWL寬度來改善受到PBTI效應的8T SRAM的IMC架構的方法,此耐老化方法也適用於其他同類型的SRAM IMC架構。另外,為了得知記憶體內部的健康狀況,我們提出了一個自我檢測的方法,可得知記憶體內部各列的老化嚴重程度,配合提出的抗老化方法,達到延長系統壽命的目標。本論文的實驗結果顯示我們所提出的方法對於不同列的IMC架構皆可行,並能使得系統的壽命有明顯的延長。
摘要(英) In-memory computing (IMC) has been proposed to overcome the well-known von-Neumann bottleneck. IMC can directly accomplish data processing inside memory arrays, and it provides efficient and fast operations such as Boolean logic computation. With various IMC architectures, one of such an architecture is SRAM-based IMC, which uses the voltage amplitude to realize Boolean logic functions such as NOR, NAND and XOR.
Based on the previous description, we know that IMC operation is sensitive to the deviations. Therefore, the PVT variations as well as aging effects will seriously influence the accuracy of IMC results. Moreover, we observe that there are difference between different rows of memories.
In this thesis, we concentrate on the Positive Bias Temperature Instability (PBTI) effect, which will eventually cause the incorrect IMC results. Hence we provide a PBTI-aware SRAM IMC architecture. To achieve this goal, we propose two aging tolerance methods to maintain the correctness of IMC results in SRAM IMC architecture. Furthermore, we develop a self-testing aging detection method to determine the health condition of each row in memory. Finally, we give the guidelines for constructing such IMC architectures. Experimental results show the IMC architecture could still work correctly under PBTI effect with our methods. Moreover, the system lifetime can further be extended.
關鍵字(中) ★ 靜態存取記憶體
★ 記憶體內運算
★ 正偏壓溫度不穩定性
★ 抗老化方法
★ 老化偵測方法
關鍵字(英) ★ SRAM
★ In-Memory Computing
★ Positive Bias Temperature Instability
★ PBTI
★ Aging Tolerance Method
★ Aging Detection Method
論文目次 摘要 i
Abstract ii
致謝 iii
Table of Contents iv
Table of Figures vi
Table of Tables viii
Chapter 1 Introduction 1
Chapter 2 Preliminaries 7
2.1 6T SRAM Read and Write Operation 7
2.2 8T SRAM In-memory Computing 9
2.3 PBTI Effect 12
2.4 PBTI Model 13
2.5 8T SRAM In-Memory Computing Architecture with PBTI Effect 14
Chapter 3 Problem Formulation 16
Chapter 4 Framework 18
4.1 Aging Detection Method 19
4.2 Supplemental Transistor Approach 21
4.3 Pulse Width of RWLIMC Adjustment 23
4.4 Summaries for Design Guidelines 26
Chapter 5 Experimental Result 29
5.1 Supplemental Transistor Approach 29
5.2 Pulse Width of RWLIMC Adjustment 32
5.3 Extended Lifetime Comparison 34
Chapter 6 Conclusions 36
參考文獻 [1] A. Agrawal, et al., “X-SRAM: Enabling In-Memory Boolean Computations in CMOS Static Random Access Memories,” IEEE Transactions on Circuits and Systems (TCAS-I), vol.65, issue 12, pp. 4219-4232, 2018
[2] A. Boroumand, et al., “Google Workloads for Consumer Devices: Mitigating Data Movement Bottlenecks” in Proc. of International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 316-331, 2018
[3] Y.G. Chen, et al., “Multibit Retention Registers for Power Gated Designs: Concept, Design, and Deployment,” in Proc. of IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, pp. 507-518, 2014
[4] B. Cheng, et al., "Impact of NBTI/PBTI on SRAM Stability Degradation," in Proc. of IEEE Electron Device Letters, vol. 32, no. 6, pp. 740-742, 2011
[5] Q. Dong, et al., “A 0.3V VDDmin 4+2T SRAM for searching and in-memory computing using 55nm DDC technology,” in Proc. of Symposium on VLSI Circuits, 2017
[6] R. Gao, et al., “As-grown-Generation Model for Positive Bias Temperature Instability,” in Proc. of IEEE Transactions on Electron Devices, vol. 65, no. 9, pp. 3662-3668, 2018
[7] M. Karimi, et al., “A Low Area Overhead NBTI/PBTI Sensor for SRAM Memories,” in Proc. of IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 11, pp. 3138-3151, 2017
[8] A. Kerber, et al., "Bias temperature instability in scaled CMOS technologies: A circuit perspective", Microelectron. Rel., vol. 81, pp. 31-40, Feb. 2018, [online] Available: https://doi.org/10.1016/j.microrel.2017.12.006
[9] S. Kiamehr, et al., “Aging-aware timing analysis considering combined effects of NBTI and PBTI,” in Proc. of International Symposium on Quality Electronic Design (ISQED), pp. 53-59, 2013
[10] H. Kim, et al., “Read disturb-free SRAM bit-cell for subthreshold memory applications,” in Proc. of International Conference on Electron Devices and Solid-State Circuits (EDSSC), pp. 1-2, 2017
[11] R. Kishida, et al., "Negative bias temperature instability caused by plasma induced damage in 65 nm bulk and Silicon on thin BOX (SOTB) processes," IEEE International Reliability Physics Symposium, pp. CA.2.1-CA.2.5, 2015
[12] Y. Morita, et al., “Area comparison between 6T and 8T SRAM cells in dual-Vdd scheme and DVS scheme,” in Proc. of IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, 2007
[13] S. Mukhopadhyay, et al., “A Comparative Study of NBTI and PBTI Using Different Experimental Techniques,” in Proc. of IEEE Transactions on Electron Devices, vol. 63, no. 10, pp. 4038-4045, 2016
[14] Predictive Technology Model (PTM). [Online]. Available http://www.ptm.asu.edu
[15] G. Rzepa, et al., “Efficient physical defect model applied to PBTI in high-κ stacks,” in Proc. of IEEE International Reliability Physics Symposium (IRPS), pp. XT-11.1-XT-11.6, 2017
[16] W. Simon, et al., “A Fast, Reliable and Wide-Voltage-Range In-Memory Computing Architecture,” in Proc. of 56th ACM/IEEE Design Automation Conference (DAC), 2019
[17] N. Surana, et al., “Robust and High-Performance 12-T Interlocked SRAM for In-Memory Computing,” in Proc. of Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020
[18] B. Tudor, et al., “MOSRA: An efficient and versatile MOS aging modeling and reliability analysis solution for 45nm and below,” in Proc. of 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, 2010
[19] R. Venkatraman, et al., “Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas,” United States Patent No. 7304874, 2007
[20] Y. Zhang, et al., “Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor With In-Memory and Near-Memory Computing for IoT Security,” in Proc. of IEEE Journal of Solid-State Circuits, vol. 53, pp. 995-1005, 2018
指導教授 陳聿廣(Yu-Guang Chen) 審核日期 2021-11-26
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明