博碩士論文 109521038 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:101 、訪客IP:18.218.84.153
姓名 吳奕陞(Yi-Sheng Wu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 基於閾值電壓電性擾動所實現之高速亂數產生率的40-nm 4T-SRAM真亂數產生器記憶體矩陣晶片
(Implementation of High-speed TRNGs Composed of 40-nm Loadless 4T-SRAM Memory-array Based on the Vth Electrical Variability)
相關論文
★ 基於十六奈米鰭式場效電晶體平台實現通道轟擊電離編程機制之低成本高速嵌入式動態隨機存取記憶體★ 具有通道熱電子注入編程能力的40nm 4kb 1T OTP陣列的設計和實現
★ 通過虛擬源極傳輸模型對16-nm應變矽鰭式場效電晶體低溫準彈道傳輸的電性變化建模★ 多阻態存取1T1R電阻式記憶體矩陣晶片的三態內容定址記憶體的設計和實現
★ 新穎的多阻態之真亂數產⽣器由 40nm電阻式記憶體陣列實現★ 40奈米之電阻式記憶體陣列透過啟動/設置/重置操作物理不可複製功能的綜合研究
★ 新穎極小化高密度三維整體堆疊式1T-nF電流熔絲一次性編程記憶體晶片★ 混和訊號 1.6-3.6GHz 相位旋轉延遲鎖定迴路
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2027-10-24以後開放)
摘要(中) 在資安技術中,真亂數產生器 ( TRNG ) 是非常重要的硬體關鍵部位之一,其主要功能是產生隨機排列的 0 與 1 字串,產生方式是經由物理現象所產生的隨機電性雜訊,將這些雜訊透過電路的方式讀取出 0 與 1 的結果,而因為這些物理現象都具有不可預測的特性,因此產生出來的隨機數列也具有完美的隨機特性,進而達到真亂數的效果。
本論文所設計的容量為 2kb 的 loadless 4T-SRAM 矩陣,其單位元件面積為 1.3975μm2,並且在一次讀寫後能一次產生出 32 bits 的隨機字串,因為在讀寫的過程,( Bitline, BL ) 會因為路徑上的 nMOS 閾值電壓值不同,因此造成讀取出的電壓會有差異,而由
於閾值電壓是因為製程時所出現的物理差異,無法被計算出影響的電壓高低,所以讀取出的電壓差值也存在著隨機特性,再透過電路的分析便可以獲得隨機的字串輸出。
而本論文所設計的 loadless 4T-SRAM 在經過電性的量測與數據分析後,其操作的輸入電壓只需 0.5 V 至 0.7 V,並且操作時間在 6 ns 附近就能產生出亂數的效果,在透過統計學的方式對輸出的字串進行 Hamming Distance、Hamming Weight 與 Autocorrelation 的分析後,透過 Hamming Distance 分析中可以發現每一個輸出的字元所發生翻轉的機
率都接近 50 %,而經由 Hamming Weight 與 Autocorrelation 的分析後,可以確定在長時間的讀取後仍能輸出穩定且不具備重複性的亂數結果,並且最後的輸出結果在常溫以及高溫的情況下都能通過 NIST test 的 15 項驗證,因此本論文提出的設計實現高效率、低功耗的目標,並且晶片在常溫以及高溫的環境中,都能穩定的輸出隨機的亂數,因此以閾值電壓值差異做為 TRNG 的 entropy 是在現今製程技術持續微縮的環境中,是非常具
有潛力的。
摘要(英) In information security technology, the True Random Number Generator (TRNG) plays a significant role in hardware devices. Its main function is to generate random 0 and 1 strings.
The entropy of TRNG is generated by physic phenomena, and the results of 0 and 1 are read out by the noises via the circuit. Since these physic phenomena have unpredictable
characteristics, the generated random number sequence also has perfect randomness , which achieves true random numbers.
The loadless 4T-SRAM array with capacity of 2 kb designed in this thesis has unit-cell area of 1.3975 μm2 and can generate a random string of 32 bits simultaneously after one read and write. During read and write process, Bitline (BL) will be fluctuated due to Vth variation of different nMOS devices, so the readout voltage will also be different.
The loadless 4T-SRAM array is designed in this thesis. After electrical measurement and data analysis, the input voltage for the operation only needs 0.5 V to 0.7 V, and the operating time is around 6 ns to produce random numbers. For characteristics of Hamming Distance, Hamming Weight, and Autocorrelation, it can be found that each output bit shows the flipping probability which is very close to 50%. Through Hamming Weight and Autocorrelation analysis, we can determine that the results of stable and non-reproducible random numbers can be read out. Moreover, our final output results successfully passed all of the NIST test at both 25°C and 75°C. In conclusion, the design proposed in this thesis achieves goals of high efficiency, low power consumption and prefect randomness.
關鍵字(中) ★ 真亂數產生器
★ 靜態隨機存取記憶體
★ 記憶體矩陣
★ 記憶體單元
關鍵字(英) ★ True Random Number Generator
★ SRAM
★ Loadless 4T-SRAM
★ memory cell
★ memory array
論文目次 目錄
摘要 .............................................. I
Abstract ......................................... II
致謝 .............................................. III
圖目錄..............................................VI
表目錄............................................. VIII
第 1 章 導論 .............................. 1
1.1 背景................................... 1
1.2 研究動機............................... 2
1.3 論文架構................................ 3
第 2 章 The unit cell of Loadless 4T-SRAM TRNG array.... 4
2.1 TRNG Unit cell 介紹 ............ 4
2.2 6T、8T 與 loadless 4T-SRAM 操作與架構介紹....... 7
2.2.1 傳統 6T-SRAM 操作與架構介紹...... 7
2.2.2 8T-SRAM 操作與架構介紹....................... 8
2.2.3 Loadless 4T-SRAM 架構與操作.............. 9
2.3 Loadless 4T-SRAM 優勢與劣勢..............11
2.4 實驗設置....................12
第 3 章 Current level of 2kb Loadless 4T-SRAM TRNG array. 23
3.1 介紹................................................23
3.2 Unit cell 架構 .....................................24
3.3 2kb Loadless 4T-SRAM array 架構 ...............25
3.4 Sensing Amplifier 架構.......................25
3.5 3-to-8 Decoder 架構 ..........................26
3.6 2-to-1 multiplexer .............................26
3.7 PISO.................................................27
3.8 設計流程與操作設定.....................27
第 4 章 模擬結果.....................................37
4.1 SNM 模擬圖..................................37
4.2 Monte Carlo 模擬圖 .....................37
4.3 Post-sim..................................37
第 5 章 量測結果...............................43
5.1 介紹....................................43
5.2 Program shmoo plot .....................44
5.3 MOSAIC plot...............................44
5.4 Inter Hamming Distance plot...............45
5.5 Intra Hamming Distance plot...............45
5.6 Hamming Weight plot ......................46
5.7 Autocorrelation plot .....................46
5.8 NIST Test.................................47
5.9 Output waveform ..........................47
第 6 章 總結 ..................................65
參考文獻.......................................71
參考文獻 [1] S. Taneja, V. K. Rajanna and M. Alioto, "36.1 Unified In-Memory Dynamic TRNG and
Multi-Bit Static PUF Entropy Generation for Ubiquitous Hardware Security," 2021 IEEE
International Solid- State Circuits Conference (ISSCC), 2021, pp. 498-500.

[2] F. Ferdaus, B. M. S. Bahar Talukder, M. Sadi and M. T. Rahman, "True Random Number
Generation using Latency Variations of Commercial MRAM Chips," 2021 22nd International
Symposium on Quality Electronic Design (ISQED), 2021, pp. 510-515.

[3] B. Lin et al., "A High-performance and Calibration-free True Random Number Generator
Based on the Resistance Perturbation in RRAM Array," 2020 IEEE International Electron
Devices Meeting (IEDM), 2020, pp. 38.6.1-38.6.4.

[4] C. Xu, R. Hu, Y. Wang and F. Shi, "A noniterative reconstruction algorithm for LFSR
PRNG," Proceedings of 2012 2nd International Conference on Computer Science and Network
Technology, 2012, pp. 336-339.

[5] S. R., N. T. Deshpande and A. R. Aswatha, "Design and Analysis of a New Loadless 4T
SRAM Cell in Deep Submicron CMOS Technologies," 2009 Second International Conference
on Emerging Trends in Engineering & Technology, 2009, pp. 155-161.

[6] T. Gupta and P. Naik, "Comparative analysis of 2T, 3T and 4T DRAM CMOS cells," 2017
International Conference on Information, Communication, Instrumentation and Control
(ICICIC), 2017, pp. 548-564.

[7] S. Guo et al., "Investigation on the amplitude coupling effect of random telegraph noise
(RTN) in nanoscale FinFETs," 2018 IEEE International Reliability Physics Symposium (IRPS),
2018, pp. P-TX.6-1-P-TX.6-4.

[8] F. Pebay-Peyroula, T. Dalgaty and E. Vianello, "Entropy source characterization in HfO2
RRAM for TRNG applications," 2020 15th Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 2020, pp. 55-57.

[9] K. Yang et al., "A 28NM Integrated True Random Number Generator Harvesting Entropy
from MRAM," 2018 IEEE Symposium on VLSI Circuits, 2018, pp. 171-172.

[10] E. Piccinini, R. Brunetti and M. Rudan, "Self-Heating Phase-Change Memory-Array
Demonstrator for True Random Number Generation," in IEEE Transactions on Electron Devices, vol. 64, no. 5, pp. 2185-2192

[11] K. Yang, D. Blaauw, and D. Sylvester, " A Robust −40 to 120°C All Digital True Random
Number Generator in 40nm CMOS," Symposium on VLSI Circuits (VLSI Circuits), 2015, pp.
280-281.

[12] W. Y. Yang, B. Y. Chen, C. C. Chuang, E. R. Hsieh, K. S. Li and S. S. Chung, "Novel
Concept of Hardware Security in Using Gate-switching FinFET Nonvolatile Memory to
Implement True-Random-Number Generator," 2020 IEEE International Electron Devices
Meeting (IEDM), 2020, pp. 39.3.1-39.3.4.

[13] Y. Xiao, E. R. Hsieh, T. P. Chen, S. A. Huang, T. J. Chen, and S. S. Chung, "Novel
Concept of the Transistor Variation Directed Toward the Circuit Implementation of Physical
Unclonable Function (PUF) and True random-number-generator," IEEE International Electron
Devices Meeting (IEDM), 2019, pp. 21.5.1-21.5.4.

[14] Z. Wei, Y. Katoh, S.Ogasahara, Y. Yoshimoto, K. Kawai, Y. Ikeda, K. Eriguchi,
K.Ohmori, S. Yoneda, "True Random Number Generator using Current Difference based on a
Fractional Stochastic Model in 40-nm Embedded ReRAM," IEEE International Electron
Devices Meeting (IEDM), 2016, pp. 4.8.1-14.8.4.

[15] B. Lin, B. Gao, Y. Pang, P. Yao, D. Wu, H. He, J. Tang, H. Qian, and H. Wu "A HighSpeed and High-Reliability TRNG Based on Analog RRAM for IoT Security Application,"
IEEE International Electron Devices Meeting (IEDM), 2019, pp. 14.8.1-14.8.4.

[16] S. Kiamehr, M. S. Golanbari and M. B. Tahoori, "Leveraging aging effect to improve
73
SRAM-based true random number generators," Design, Automation & Test in Europe
Conference & Exhibition (DATE), 2017, 2017, pp. 882-885.

[17] L. T. Clark, S. B. Medapuram and D. K. Kadiyala, "SRAM Circuits for True Random
Number Generation Using Intrinsic Bit Instability," in IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 26, no. 10, pp. 2027-2037, Oct. 2018.

[18] A. Chandras and V. S. K. Bhaaskaran, "sensing schemes of sense amplifier for singleended SRAM," 2017 International Conference on Nextgen Electronic Technologies : Silicon to
Software (ICNETS2), 2017, pp. 379-384.

[19] A. Goyal and V. K. Agarwal, "Low Power Consumption Based 4T SRAM Cell for
CMOS 130nm Technology," 2016 8th International Conference on Computational Intelligence
and Communication Networks (CICN), 2016, pp. 590-593.

[20] C. -C. Wang and C. -P. Kuo, "200-MHz Single-Ended 6T 1-kb SRAM With 0.2313 pJ
Energy/Access Using 40-nm CMOS Logic Process," in IEEE Transactions on Circuits and
Systems II: Express Briefs, vol. 68, no. 9, pp. 3163-3166.

[21] S. E. Schuster and R. E. Matick, "Fast Low Power eDRAM Hierarchical Differential
Sense Amplifier," in IEEE Journal of Solid-State Circuits, vol. 44, no. 2, pp. 631-641.

[22] P. Murugeswari, G. Anusha, P. Venkateshwarlu, M. Bhaskar and B. Venkataramani, "A
wide band voltage mode sense amplifier receiver for high speed interconnects," TENCON 2008
- 2008 IEEE Region 10 Conference, 2008, pp. 1717-1722

[23] V . P. -H. Hu, "Reliability-Tolerant Design for Ultra-Thin-Body GeOI 6T SRAM Cell
and Sense Amplifier," in IEEE Journal of the Electron Devices Society, vol. 5, no. 2, pp. 107-
111.

[24] Y. -C. Lai and S. -Y. Huang, "A Resilient and Power-Efficient Automatic-Power-Down
Sense Amplifier for SRAM Design," in IEEE Transactions on Circuits and Systems II: Express
Briefs, vol. 55, no. 10, pp. 1031-1035.
74

[25] V. Shamdasani and Y. Kim, "Two-dimensional autocorrelation method for ultrasoundbased strain estimation," The 26th Annual International Conference of the IEEE Engineering in
Medicine and Biology Society, 2004, pp. 1380-1383.

[26] S. Taneja, V. K. Rajanna and M. Alioto, "In-Memory Unified TRNG and Multi-Bit PUF
for Ubiquitous Hardware Security," in IEEE Journal of Solid-State Circuits, vol. 57, no. 1, pp.
153-166, Jan. 2022.

[27] S. Taneja and M. Alioto, “Fully synthesizable unified true random number generator and
cryptographic core,” IEEE J. Solid-State Circuits, vol. 56, no. 10, pp. 3049–3061, Oct. 2021.

[28] S. T. Chandrasekaran, V. E. G. Karnam, and A. Sanyal, “0.36-mW, 52-Mbps true random
number generator based on a stochastic delta- sigma modulator,” IEEE Solid-State Circuits
Lett., vol. 3, pp. 190–193, 2020.

[29] V. R. Pamula, X. Sun, S. Kim, F. U. Rahman, B. Zhang, and V. S. Sathe, “An all-digital
true-random-number generator with inte- grated de-correlation and bias correction at 3.2-to-86
Mb/s, 2.58 pJ/bit in 65-nm CMOS,” in Proc. IEEE Symp. VLSI Circuits, Honolulu, HI, USA,
Jun. 2018, pp. 1–2.

[30] E. Kim, M. Lee, and J. Kim, “8 Mb/s 28 Mb/mJ robust true-random- number generator
in 65 nm CMOS based on differential ring oscillator with feedback resistors,” in ISSCC Dig.
Tech. Papers, Feb. 2017, pp. 144–145.

[31] M. Kim, U. Ha, K. J. Lee, Y. Lee, and H.-J. Yoo, “A 82-nW chaotic map true random
number generator based on a sub-ranging SAR ADC,” IEEE J. Solid-State Circuits, vol. 52, no.
7, pp. 1953–1965, Jul. 2017.
指導教授 謝易叡(E-Ray Hsieh) 審核日期 2022-10-25
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明