博碩士論文 89521011 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:96 、訪客IP:18.191.210.18
姓名 呂鴻文(Hung-wen Lu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於高速鏈結之數位化介面電路技術
(Digitalized I/O techniques for high speed serial link system)
相關論文
★ 運算放大器之自動化設計流程及行為模型研究★ 匯流排上的時間延遲及交談失真的偵錯設計技巧
★ 適用於自動測試機台的時間產生器★ 混波測試匯流排的量測學
★ 高速連結之時序與資料回復★ 基於IEEE 1057之類比數位轉換器量測技術
★ 應用於高畫質電視之載波回復電路架構★ 單晶片測試機之前端驅動電路設計
★ 系統晶片類比數位轉換器測試之數位信號處理程式庫★ A 2.5V,0.35um,2.5Gbps 傳送接收器設計
★ 內建式類比數位/數位類比轉換器線性度之自我測試★ 高準確度及低成本之電壓量測技術
★ 應用於ATSC VSB時脈回復之全數位延遲線迴路★ 適用於晶片間通訊之高速傳輸介面
★ 內建式類比數位轉換器之自我校正方法★ 高速序列傳輸之量測技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 當許多序列式輸入輸出介面整合在一顆晶片上時﹐這個系統對外的導線數目與介面電路的元件可以大幅的減少﹐也可藉此達到更低的功率消耗與更高的頻寬.雖然之前序列電路相關的研究已經可以達到每秒數億筆資料的傳輸速度﹐但就每一個單一通道本身而言都耗費大量的面積與高功率﹐這使得將多組的序列介面系統整合入一顆晶片變得困難.
為了減少系統的功率消耗與電路成本﹐同時提升設計在不同製程間的移轉性﹐本論文以數位化的精神分別改善五個在序列傳輸介面的重要模組.第一個模組是使用低頻率多相位參考時脈的樹狀多工器﹐不需要高頻率單相位參考時脈﹐提出的多工器以多級實現﹐電路內部節點的寄生電容值較小﹐因此可達到較高的頻寬﹐同時也對製程飄移較不敏感﹐第二個模組是僅用反相器單元與傳輸閘單元組成的預先驅動電路與預先放大電路﹐此電路可隨著供應電壓而動態調整性能同時也大幅降低功率消耗與電路面積﹐另外我們提出了具電感效應的自我偏壓電路﹐可以降低切換雜訊同時提升操作頻寬.第三個模組是低靜態功率消耗的低壓差動式輸出驅動電路.透過同步切換雜訊減少電路與輸出準位自我校正電路﹐此電路可以減少50%的靜態功率消耗同時減少製程飄移所帶來的影響.第四個模組是資料相位校正式的時脈與資料回復電路﹐它使用由數位緩衝電路構成的數位控制延遲電路來達到高頻寬、高輸入敏感度與低硬體消耗﹐另外採用低頻率多相位的參考時脈來降低數位迴路中相位處理電路的頻寬﹐此數位迴路透過可程式化計數器來改變頻寬﹐進而在鎖定速度與過濾雜訊的效能中取得平衡﹐最後一個模組是全數位的電感電容式震盪電路﹐藉由電感電容共震的帶通特性達到較低的相位雜訊﹐完成針對此電路的小訊號模型、震盪頻率與電路參數的計算﹐在此也提出一數位控制電感元件來補償製程飄移造成的電感值飄移﹐同時也提出一高解析度的數位控制電容元件來達到較高的頻率解析度。
摘要(英) With many high-speed serial I/Os integrated on a chip, the component count and power budget of a system can be significantly reduced, allowing for both reduced costs and expanded capability. Although previously published designs have achieved multi-gigabit bandwidth per channel, the area and power consumption are too large to make terabit integrated circuits feasible.
This thesis is focus on the design low power and area efficient serial I/O system. Five main building blocks are discussed in this thesis. The first topic proposes a tree-topology multiplexer that uses a multi-phase low-frequency clock instead of a high frequency clock. The parasitic effects at each stage are minimized by multiplexing only two inputs. Therefore, the jitter caused by the process variation and ISI are reduced, and the data rate is increased. In the second topic, a pre-driver and a pre-amplifier composed of inverters and transmission gates only are proposed to achieve high supply voltage scalability and low area overhead. The inverter with an inductive biasing circuit can extend bandwidth, and reduce the SSN simultaneously. The third topic is an all-digital LVDS output driver design. A simultaneous switching noise reduction technique and an auto calibration mechanism are implemented to suppress switching noise and to handle process and environmental variations. The fourth topic is an all-digital deskew buffer. Its delay line circuits adopt digital inductive biasing loads to achieve high bandwidth and with a high input sensitivity. With a multi-phase reference clocks, the bandwidth requirements of the following digital signal processing circuits is thus released. A programmable confidence counter is adopted to adjust the loop bandwidth and filter high-frequency data jitter. The last topic is a digital DCO architecture with novel scheme for inductor and varactor. By using the band-pass characteristic of LC tank oscillation, it is effective for phase noise reduction in the proposed DCO. Related small signal model analysis helps calculate oscillation frequency and adjust the circuit parameters. We use the programmable inductor and varactors to solve the process variation problem and obtain the central frequency and tuning range. By using logic cell only, the proposed architecture has a high portability and low hardware overhead.
關鍵字(中) ★ 數位控制震盪電路
★ 全數位鎖相迴路
★ 時脈與資料回復電路
★ 序列器
★ 多工器
★ 介面電路
★ 收發電路
★ 發射電路
★ 接收電路
關鍵字(英) ★ Digital control oscillator
★ All digital phase lock loop
★ Clock and data recovery
★ Seriallizer
★ Multiplexer
★ I/O
★ Transceiver
★ Interface
論文目次 CHAPTER 1 INTRODUCTION 1
1.1 LINK COMPONENTS 1
1.2 THESIS ORGANIZATION 3
CHAPTER 2 TREE-TOPOLOGY MULTIPLEXER 6
2.1 MUX ARCHITECTURE OVERVIEW 6
2.2 PROPOSED MUX ARCHITECTURE 8
2.3 MUX TIMING JITTER ANALYSIS 11
2.3.1 Jitter caused by process variation 12
2.4 MUX ISI JITTER ANALYSIS 15
2.4.1 ISI jitter calculation for single-stage MUX 15
2.4.2 ISI jitter calculation for the proposed MUX 16
2.4.3 Power comparison among different MUX architectures 20
2.5 MUX TEST CHIP IMPLEMENTATION AND MEASUREMENT 23
CHAPTER 3 PRE-DRIVER AND PRE-AMPLIFIER 27
3.1 BUFFER MOTIVATION 27
3.2 BUFFER ARCHITECTURE 28
3.2.1 Original digital buffer 28
3.2.2 Proposed digital buffer 29
3.3 FREQUENCY PEAKING ANALYSIS 31
3.4 BUFFER COMPARISON SIMULATION 34
3.5 BUFFER TEST CHIP IMPLEMENTATION AND MEASUREMENT 37
CHAPTER 4 LVDS OUTPUT DRIVER 42
4.1 LVDS DRIVER MOTIVATION 42
4.2 DIGITAL LVDS DRIVER 43
4.2.1 Driver architecture 43
4.2.2 PVT variation consideration 45
4.3 SSN-REDUCED PRE-DRIVER 47
4.3.1 Distributed-and-Weighted Pre-driver 47
4.3.2 Duty Cycle Adjustment 51
4.3.3 Process variation and driver comparison 57
4.4 LVDS TEST CHIP IMPLEMENTATION AND MEASUREMENT 60
4.4.1 Eye diagram measurement 62
4.4.2 Calibration function testing 65
CHAPTER 5 DESKEW BUFFER 69
5.1 DESKEW BUFFER MOTIVATION 69
5.2 REPORTED CDR DESIGNS OVERVIEW 70
5.3 DESKEW BUFFER SYSTEM ARCHITECTURE AND ANALYSIS 76
5.3.1 Acquisition time 77
5.3.2 Loop latency 77
5.3.3 Frequency tolerance 79
5.3.4 Loop bandwidth 81
5.4 DSKEW BUFFER CIRCUIT DESIGN 82
5.4.1 DCDL 82
5.4.2 PD, CC, and FSM circuit design 86
5.5 DESKEW BUFFER TEST CHIP IMPLEMENTATION 90
5.5.1 Chip architecture and test circuits design 90
5.5.2 Measurement and comparisons 92
CHAPTER 6 DIGITAL CONTROL OSCILLATOR 98
6.1 DCO MOTIVATION 98
6.2 PROPOSED DCO ARCHITECTURE 101
6.3 DIGITAL CONTROL INDUCTOR 102
6.4 DIGITAL CONTROL VARACTOR 105
6.5 OSCILLATION BEHAVIOR ANALYSIS 108
6.5.1 Transfer function calculation 108
6.5.2 Calculation and simulation results 111
6.6 DCO TEST CHIP IMPLEMENTATION 114
CHAPTER 7 CONCLUSION AND FUTURE WORK 119
7.1 CONCLUSION 119
7.2 FUTURE WORK 121
REFERENCE 123
參考文獻 [1] W. J. Dally and J. Poulton, “Transmitter equalization for 4Gb/s signaling,” in Proc. Hot Interconnect, Aug. 1996, pp.29-39.
[2] J. Poulton, W. J. Dally and S. Tell, “A trackingclock recovery receiver for 4-Gbps signaling,” IEEE Micro, Vol. 18, No. 1, Jan. Feb. 1998, pp. 25-27.
[3] C. K. K. Yang, R. Farjad-Rad and M. Horowitz, “A 0.5um CMOS 4Gbps transceiver with data recovery using oversampling,” IEEE J. Solid-State Circuits, Vol. 33, pp.713-722, May 1998.
[4] M. Ida, N. Kato and T. Takada, "A 4 Gbits/s GaAs 16:1 multiplexer/1:16 demultiplexer LSI chip," IEEE J. of Solid-State Circuits, Vol.24, pp.928-932, Aug. 1989.
[5] K. Lee, S. Kim, G. Ahn and D. K. Jeong, "A CMOS serial link for fully duplexed data communication," IEEE J. of Solid-State Circuits, Vol.30, pp.353-364, Apr. 1995.
[6] A. Maxim et al., "A low-jitter 125-1250-MHz process-independent and ripple- less 0.18-um CMOS PLL based on a sample-reset loop filter," IEEE J. of Solid-State Circuits, Vol.36, pp.1673-1683, Nov. 2001.
[7] S. J. Bae, H. J. Chi, Y. S. Sohn and H. J. Park, "A VCDL-based 60-760-MHz dual-loop DLL with infinite phase-shift capability and adaptive-bandwidth scheme," IEEE J. of Solid-State Circuits, Vol. 40, pp.1119-1129, May 2005.
[8] Zerbe J.L. et al., "Equalization and clock recovery for a 2.5-10-Gb/s 2-PAM/4- PAM backplane transceiver cell," IEEE J. of Solid-State Circuits, Vol. 38, pp.2121- 2130, Dec 2003.
[9] Kun-Yung Ken Chang et al., "A 0.4-4Gb/s CMOS quad transceiver cell using on-chip regulated dual-loop PLLs," IEEE J. of Solid-State Circuits, Vol.38, pp.747-754, May 2003.
[10] Lee M.-J.E., Dally W.J. and Chiang P., "Low-power area-efficient high-speed I/O circuit techniques, " IEEE J. of Solid-State Circuits, Vol.35, pp.1591-1599, Nov. 2000.
[11] J.G. Manteatis, "Low-Jitter Process-Independent DLL and PLL Based on Self- Biased Techniques," IEEE J. Solid-State Circuits, Vol.31, pp.1723-1732, Nov. 1996.
[12] Mingdeng Chen, Silva-Martinez, J.; Nix and M.; Robinson, M.E.,"Low-voltage low-power LVDS drivers," IEEE J. Solid-State Circuits, Vol.40, pp.472-479, Feb. 2005.
[13] Mick S., Wilson J. and Franzon P., “4Gbps high-density AC coupled interconnection,” in Proc. IEEE Custom Integrated Circuits Conf., May 2002, pp.133-140.
[14] Jongsun Kim et al., "A 5.6-mW 1-Gb/s/pair pulsed signaling transceiver for a fully AC coupled bus," IEEE J. Solid-State Circuits, Vol.40, pp.1331-1340, June 2005.
[15] Luo et al.,"3 Gb/s AC coupled chip-to-chip communication using a low swing pulse receiver," IEEE J. Solid-State Circuits, Vol.41, pp.287-296, Jan. 2006.
[16] J. K. Kim and T. S. Kalkur, "High-Speed Current-Mode Logic Amplifier Using Positive Feedback and Feed-Forward Source-Follower Techniques for High-Speed CMOS I/O Buffer," IEEE J. Solid-State Circuits, Vol.40, pp.796-802, Mar. 2005.
[17] Mingdeng Chen et al., "Low-voltage low-power LVDS drivers," IEEE J. Solid- State Circuits, Vol.40, pp.472-479, Feb. 2005.
[18] Jacob Baker, “High speed digital signal buffer and method,” U.S. Patent 6483347, Nov. 2002.
[19] B. Nauta, “A CMOS transconductance-C filter technique for very high frequencies,” IEEE J. Solid-State Circuits, Vol.27, pp.142-153, Feb. 1992.
[20] H. W. Wang, H. W. Lu and C. C. Su, "A digitized LVDS driver with simultaneous switching noise rejection," in Proc. IEEE Asia-Pacific Conf. on Advanced System Integrated Circuit, Aug. 2004, pp.240-243,.
[21] IEEE Standard for Low-Voltage Differential Signals (LVDS) for Scalable Coherent Interface (SCI), IEEE Std 1596.3-1996, 31 Jul 1996.
[22] Uwe Vogel et al., “LVDS I/O cells with rail-to-rail receiver input for SONET/SDH at 1.25Gb/s,” in Proc. European Solid- State Circuits Conf., Sept. 2000, pp.460-463.
[23] T. Gabara et al., “LVDS I/O buffers with a controlled reference circuit,” in Proc. ASIC Conf., Sept. 1997, pp.311-315.
[24] A. Boni, A. Pierazzi, and D. Vecchi, “LVDS I/O interface for Gb/s per-Pin operation in 0.35-um CMOS,” IEEE J. Solid-State Circuits, Vol.36, pp.706-711, Apr. 2001.
[25] C. C. Wang, J. M. Huang and J. F. Huang, “1.0 Gbps LVDS transceiver design for LCD panels,“ in Proc. Asia-Pacific Conf. Advanced System Integrated Circuits, Aug. 2004, pp.236-239.
[26] H. C. Chow and W. W. Sheen, “Low power LVDS circuit for serial data communications,” in Proc. Intelligent Signal Processing and Communication Systems Conf., Dec. 2005, pp.293-296.
[27] Mingdeng Chen et al., “Low- voltage low-power LVDS drivers,” IEEE J. Solid-State Circuits, Vol.40, pp.472-479, Feb. 2005.
[28] H. W. Lu and C. C. Su, “A 5Gbps CMOS LVDS transmitter with multi-phase tree type multiplexer,” in Proc. Asia-Pacific Conf. on Advanced System Integrated Circuits, Aug. 2004, pp.228-231.
[29] Jaeseo Lee et al., “Design and implementation of CMOS LVDS 2.5 Gb/s transmitter and 1.3 Gb/s receiver for optical interconnections,” in Proc. International Symposium on Circuits and Systems Conf., May 2001, pp.702-705.
[30] H. W. Wang, H. W. Lu and C. C. Su, “A digitized LVDS driver with simultaneous switching noise rejection,” in Proc. Asia- Pacific Advanced System Integrated Circuits Conf., 2004, pp.240-243
[31] H. W. Wang, H. W. Lu and C. C. Su, “A Self-Calibrate All-Digital 3Gbps SATA Driver Design,” in Proc. IEEE Asia Solid State Circuit Conf., Dec. 2005, pp.57-60.
[32] http://www.serialata.org/
[33] Cabara T.J. et al., “Forming damped LRC parasitic circuits in simultaneously switched CMOS output buffers,” IEEE J. Solid-State Circuits, Vol.32, pp.407-418, March 1997.
[34] Garcia, F. et al., “Design of a slew rate controlled output buffer,” in Proc. IEEE ASIC Conf., Sept. 1998, pp.147-150
[35] S. K. Shin et al., ”A slew-rate controlled output driver using PLL as compensation circuit,” IEEE J. Solid-State Circuits, Vol.38, pp.1227-1233, July 2003.
[36] Senthinathan R. and Prince J.L., “Application specific CMOS output driver circuit design techniques to reduce simultaneous switching noise,” IEEE J. Solid-State Circuits, Vol.28, pp.1383-1388, Dec. 1993.
[37] Deutschmann B. and Ostermann T., ”CMOS output drivers with reduced ground bounce and electromagnetic emission,” in Proc. European Solid-State Circuits Conf., Sept. 2003, pp.537-540.
[38] Bratov V., Binkley J., Katzman V. and Choma J., "Architecture and Implementation of a Low-Power LVDS Output Buffer for High-Speed Applications," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.53, pp.2101-2108, Oct. 2006.
[39] Jongsun Kim, Verbauwhede I. and Chang M.-C.F., "A 5.6-mW 1-Gb/s/pair pulsed signaling transceiver for a fully AC coupled bus," IEEE J. Solid-State Circuits, Vol. 40, pp.1331-1340, June 2005.
[40] Luo et al., "3 Gb/s AC coupled chip-to-chip communication using a low swing pulse receiver," IEEE J. Solid-State Circuits, Vol.41, pp.287-296, Jan. 2006.
[41] Jamasb, S. et al., "A 622 MHz stand-alone LVDS driver pad in 0.18-μm CMOS," in Proc. Midwest Symposium on Circuits and Systems, Aug. 2001, pp.610-613.
[42] Sua Kim et al., "A 6-Gbps/pin Half-Duplex LVDS I/O for High-Speed Mobile DRAM," in Proc. Asian Solid-State Circuits Conf., Dec. 2005, pp.53-56.
[43] Behzad Razavi, Design of Integrated Circuits for Optical Communications, pp.322-327, McGRAW- Hill, 2003.
[44] Jafar Savoj and Behzad Razavi, "A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector," IEEE J. Solid-State Circuits, Vol.36, pp.761-768, May 2001.
[45] Jafar Savoj and Behzad Razavi, "A 10-Gb/s CMOS Clock and Data Recovery Circuit With a Half-Rate Binary Phase/Frequency Detector," IEEE J. Solid-State Circuits, Vol.38, pp.13-21, Jan 2003.
[46] Sangjin Byun et al., "A 10-Gb/s CMOS CDR and DEMUX IC With a Quarter-Rate Linear Phase Detector," IEEE J. Solid-State Circuits, Vol.41, pp.2566-2576, Nov 2006.
[47] S. J. Kim et al., "An 800Mbps Multi-Channel CMOS Serial Link with 3x Oversampling," in Proc. IEEE Custom Integrated Circuits Conf., Dec 1995, pp.451-455.
[48] C. K. K. Yang, “Design of high-speed serial links in CMOS,” Ph. D. dissertation, pp.120-128, Stanford, Dec 1998.
[49] C. K. K. Yang, R. Farjad-Rad, and M. A. Horowitz, "A 0.5-/spl mu/m CMOS 4.0-Gbit/s Serial Link Transceiver with Data Recovery Using Oversampling," IEEE J. Solid-State Circuits, Vol.33, pp.713-722, May 1998.
[50] M.-J. Edward Lee et al., "An 84-mW 4-Gb/s Clock and Data Recovery Circuit for Serial Link Applications," in Proc. Symposium on VLSl Circuits, June 2001, pp.149-152.
[51] Rainer Kreienkamp et al., "A 10-Gb/s CMOS Clock and Data Recovery Circuit With an Analog Phase Interpolator", IEEE J. Solid-State Circuits, Vol.40, pp.736-743, Mar 2005.
[52] Thomas Y. K. Wong and John E. Sitch, "A 10 Gb/s ATM Data Synchronizer," IEEE J. Solid-State Circuits, Vol.31, pp.1394-1399, Oct 1996.
[53] H. W. Lu, Y. T. Chang and C. C. Su, "All Digital 625Mbps & 2.5Gbps Deskew Buffer Design," in Porc. IEEE Int. Symposium on VLSI Design Automation and Test, April 2005, pp.263-266.
[54] Makoto Nakamura, Noboru Ishihara, and Yukio Akazawa, "A 156 Mbps CMOS Clock Recovery Circuit for Burst-mode Transmission, " in Proc. Symposium on VLSl Circuits, Jun 1996, pp.122-123.
[55] Masafumi Nogawa et al., "A 10Gb/s Burst-Mode CDR IC in 0.13µm CMOS," in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2005, pp.228-595.
[56] Shunichi Kaeriyama, Masayuki Mizuno, "A 10Gb/s/ch 50mW 120x130µm2 Clock and Data Recovery Circuit," in Proc. IEEE Int. Solid-State Circuits Conf., Feb 2003, pp.7-12.
[57] Marcus van Ierssel et al.,"A 3.2Gb/s Semi-Blind-Oversampling CDR," in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2006, pp.1304-1313.
[58] Y.-C. Bae and G.-Y. Wei, "A Mixed PLL/DLL Architecture for Low Jitter Clock Generation," in Proc. IEEE Int. Symposium on Circuits and Systems, May 2004, pp.788-791.
[59] R. Farjad-Rad et al., "33-mW 8-Gb/s CMOS Clock Multiplier and CDR for Highly Integrated I/Os," IEEE J. Solid-State Circuits, Vol.39, pp.1553-1561, Sep 2004.
[60] M. Ramezani, C. Andre and T. Salama, "A l0Gb/s CDR with a Half-rate Bang-Bang Phase Detector," in Proc. IEEE Int. Symposium on Circuits and Systems, May 2003, pp.181-184.
[61] R. B. Staszewski et al., “All-digital PLL and transmitter for mobile phones,” IEEE J. Solid-State Circuits, Vol.40, pp.2469–2482, Dec. 2005.
[62] J. Sonntag and J. Stonick, “A digital clock and data recovery architecture for multi-gigabit/s binary links,” IEEE J. Solid-State Circuits, Vol.41, No.8, pp.1867-1875, Aug. 2006.
[63] P. K. Hanumolu et al., “A 1.6 Gbps digital clock and data recovery circuit,” in Proc. IEEE Custom Integrated Circuits Conf., Sept. 2006, pp.603-606.
[64] R. Staszewski and P. Balsara, “All-digital PLL with ultra fast settling,” IEEE Trans. Circuits Syst. II, Express Briefs, Vol.54, pp.181-185, Feb. 2007.
[65] Eric Roth et al., "A Delay-line Based DCO for Multimedia Applications Using Digital Standard Cells Only," in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2003, pp.432-435.
[66] P.-L. Chen, C.-C. Chung and C.-Y. Lee, "A portable digitally controlled oscillator using novel varactors,“ IEEE Transactions on Circuits and Systems II, Vol.52, pp.233-237, May 2005.
[67] P.-L. Chen, C.-C. Chung, J.-N. Yang and C.-Y. Lee, "A Clock Generator With Cascaded Dynamic Frequency Counting Loops for Wide Multiplication Range Applications," IEEE J. Solid-State Circuits, Vol.41, pp.1275-1285, June 2006.
[68] Olsson, T. and Nilsson, P., "A digitally controlled PLL for SoC applications,“ IEEE J. of Solid-State Circuits, Vol.39, pp.751-760, May 2004.
[69] Tierno, J.A. et al., "A Wide Power Supply Range, Wide Tuning Range, All Static CMOS All Digital PLL in 65 nm SOI," IEEE J. Solid-State Circuits, Vol.43, pp.42-51, Jan. 2008.
[70] J. Lin et al., “A PVT tolerant 0.18 MHz to 600 MHz self-calibrated digital PLL in 90 nm CMOS process,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2004, pp.488-489.
[71] D.-H. Oh, D.-S. Kim, S. Kim, D.-K. Jeong, and W. Kim, “A 2.8 Gb/s all-digital CDR with a 10 b monotonic DCO,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2007, pp.222-223.
[72] Y. Chen et al.; "A 9 GHz dual-mode digitally controlled oscillator for GSM/UMTS transceivers in 65 nm CMOS,“ in Proc. IEEE Asian Solid-State Circuits Conf., Nov. 2007, pp.432-435.
[73] K.-H. Chao, P.-Y. Wang and T.-H. Hsu, "0.0234mm2 / 1mW DCO Based Clock/Data Recovery for Gbit/s Applications," in Proc. IEEE Symposium on VLSI Circuits, June 2007, pp.132-133.
[74] Staszewski, R.B. et al., "A digitally controlled oscillator in a 90 nm digital CMOS process for mobile phones,“ IEEE J. Solid-State Circuits, Vol.40, pp.2203-2211, Nov. 2005.
[75] Staszewski, R.B. et al., "All-Digital PLL and Transmitter for Mobile Phones," IEEE J. Solid-State Circuits, Vol.40, pp.2469-2482, Dec. 2005.
[76] Mel Bazes,” Digitally controlled capacitive load,” U.S. Patent 5644262, Jul. 1997.
[77] Jean L. Rainard, “Method for time delaying a signal and corresponding delay circuit,” U.S. Patent 5644262, May 1995.
指導教授 劉建男、蘇朝琴
(Chien-Nan Jimmy Liu、Chau-chin Su)
審核日期 2008-11-11
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明