博碩士論文 110521028 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:107 、訪客IP:3.15.1.196
姓名 金昌明(Chang-Ming Chin)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 8T靜態隨機存取記憶體運算的老化檢測和容忍機制:適用於邏輯和 MAC 運算的應用
(An Aging Detection and Tolerance Mechanism for 8T-SRAM Computing In-Memory Architectures: Adapting to Logic and MAC Operations)
相關論文
★ 晶圓圖之網格及稀疏缺陷樣態辨識★ 晶圓圖提取特徵參數錯誤樣態分析
★ 使用聚類過濾策略和 CNN 計算識別晶圓圖瑕疵樣態★ 新建晶圓圖相似性門檻以強化相似程度辨別能力
★ 一個可靠的靜態隨機存取記憶體內運算結構: 設計指南與耐老化策略研究★ 一個高效的老化偵測器部屬策略: 基於生成對抗網路的設計方法
★ 考慮電壓衰退和繞線影響以優化電路時序之電源供應網絡精煉策略★ 適用於提高自旋轉移力矩式磁阻隨機存取記憶體矩陣可靠度之老化偵測與緩解架構設計
★ 8T 靜態隨機存取記憶體之內積運算引擎的老化威脅緩解策略: 從架構及運算角度來提出解決的方法★ 用於響應穩定性的老化感知平行掃描鏈PUF設計
★ 使用擺置後的設計特徵及極限梯度提升演算法預測繞線後的繞線需求
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2028-10-11以後開放)
摘要(中) 如今,馮紐曼體系結構(von Neumann architecture,VNA)被認為是幾乎所有數字計算機的基本架構。然而對於圖像識別和自然語言處理等數據密集型應用,可能會在記憶體和計算核心之間傳輸大量數據,導致眾所周知的馮紐曼瓶頸。因此龐大的資料流量就使整體效率受到非常嚴重的限制。
為了克服這個瓶頸,記憶體內運算(Computing In-Memory,CIM)被認為是一個有前途的解決方案,通過在記憶體內直接執行運算操作來消除處理單元和記憶體之間頻繁數據傳輸的需求。在各種CIM架構中,基於SRAM的CIM已經成為最廣泛研究的方法之一。 SRAM單元具有高寫入耐久性和與先進邏輯工藝的兼容性,使其成為CIM實現的理想候選。
然而,這些結構容易受到工藝變異和老化效應,例如偏壓溫度不穩定性(Bias Temperature Instability,BTI)和熱載子注入(Hot Carrier Injection,HCI),這對電路性能和壽命構成了重大威脅。為了應對這些挑戰並確保CIM架構的可靠性,我們開發了一個考慮老化因素的框架,旨在增強邏輯操作和MAC操作中8T SRAM CIM架構的穩健性。在邏輯運算方面,我們提出了一種可以識別老化字組(aged word)的檢測方法,以及兩種老化容忍方法。 在MAC操作中,我們提出了一種自檢測老化檢測器設計,可以識別和記錄存儲塊(memory blocks)中的老化狀態,以及通過添加備用行的老化容忍方法。最終實驗結果表明,在考慮了工藝變異和老化效應的作用下,我們的方法能有效地提高CIM的可靠性,且避免了顯著的功耗和面積開銷。
摘要(英) Nowadays, von Neumann architecture (VNA) has been widely applied to almost all digital computers since it simplifies the microcontroller chip design by separating processing units and memory. However, the data-intensive applications such as image recognition and natural language processing may transfer huge amount of data between memory and the computing cores, which causes a well-known von Neumann bottleneck when the communication bandwidth is limited.
In order to overcome this bottleneck, Computing In-Memory (CIM) has been considered as a promising solution by performing operations directly within the memory to eliminate the need for frequent data transfers between the processing unit and memory. Among the various CIM architectures, SRAM-based CIM has emerged as one of the most extensively researched approaches. SRAM cells offer high write endurance and compatibility with advanced logic processes, making it an ideal candidate for CIM implementations.
However, these structures are susceptible to process variations and aging effects like Bias Temperature Instability (BTI) and Hot Carrier Injection (HCI), posing significant threats to circuit performance and lifetime. To address these challenges and ensure the reliability of the CIM architecture, we have developed an aging-aware framework which can enhance the robustness of the 8T SRAM CIM architecture in logic operations and MAC operations. In logic operation, we propose a self-testing aging detection method that can identify aged words, and two aging tolerance methods. In MAC operation, we propose a self-detect aging detector design which can identify and record aging states in memory blocks, and an aging tolerance method by adding spare rows. Experimental results show that our approach effectively improves the reliability of CIM considering process variation and aging effects without significant power and area overhead.
關鍵字(中) ★ 運算記憶體
★ 偏壓溫度不穩定性
★ 熱載子注入
★ 8T靜態隨機存取記憶體
★ 馮紐曼瓶頸
關鍵字(英) ★ Computing In-Memory
★ bias temperature instability
★ Hot-carrier injection
★ 8T SRAM
★ von Neumann bottleneck
論文目次 摘要 i
Abstract ii
致謝 iii
Table of Contents iv
Table of Figures vi
Table of Tables ix
Chapter 1 Introduction 1
1.1 SRAM-based CIM 2
1.2 Reliability Issues on CIM 2
1.3 Contributions 4
Chapter 2 Preliminaries 6
2.1 8T SRAM CIM Architecture for Logic Operation 6
2.2 8T SRAM CIM Architecture for MAC Operation 9
2.3 Aging Effect and Aging Model 11
2.4 Aging Threats on 8T SRAM CIM Architecture 13
Chapter 3 Aging Tolerance Framework for Logic Operation 17
3.1 Framework Overview 21
3.2 Aging Detection Method 23
3.3 Aging Tolerance Method 27
3.4 Design Guideline 31
Chapter 4 Experimental Results of Aging Tolerance Framework for Logic Operation 32
4.1 Effectiveness of Aging Detection Method 33
4.2 Effectiveness of Supplemental Transistor Method 34
4.3 Effectiveness of RWLCIM Adjustment Method 36
4.4 Reliability Improvement 37
4.5 Area and Power Overhead Analysis 38
Chapter 5 Aging Tolerance Framework for MAC Operation 40
5.1 Framework Overview 42
5.2 Aging Detection Method 44
5.3 Aging Tolerance Method 47
5.4 Design Guideline 50
Chapter 6 Experimental Results of Aging Tolerance Framework for MAC Operation 52
6.1 Effectiveness of Aging Tolerance Method 52
6.2 Reliability Improvement 54
6.3 Overhead Analysis 56
Chapter 7 Conclusions 58
Reference 59
參考文獻 [1] K. Simonyan and A. Zisserman, “Very deep convolutional networks for large-scale image recognition,” in Proc. Int. Conf. Learn. Represent. (ICLR), 2015, pp. 1–14.
[2] T. Young, D. Hazarika, S. Poria and E. Cambria, "Recent Trends in Deep Learning Based Natural Language Processing [Review Article]," in IEEE Computational Intelligence Magazine, vol. 13, no. 3, pp. 55-75, Aug. 2018, doi: 10.1109/MCI.2018.2840738.
[3] E. Yurtsever, J. Lambert, A. Carballo and K. Takeda, "A Survey of Autonomous Driving: Common Practices and Emerging Technologies," in IEEE Access, vol. 8, pp. 58443-58469, 2020, doi: 10.1109/ACCESS.2020.2983149.
[4] A. Krizhevsky, I. Sutskever, and G. E. Hinton, “Imagenet classification with deep convolutional neural networks,” in Proc. Adv. Neural Inf. Process. Syst. (NIPS), 2012, pp. 1097–1105.
[5] A. Agrawal, et al., “X-SRAM: Enabling In-Memory Boolean Computations in CMOS Static Random Access Memories,” IEEE Transactions on Circuits and Systems (TCAS-I), vol.65, issue 12, pp. 4219-4232, 2018
[6] S. Yin, Z. Jiang, J. Seo and M. Seok, "XNOR-SRAM: In-Memory Computing SRAM Macro for Binary/Ternary Deep Neural Networks," in IEEE Journal of Solid-State Circuits, vol. 55, no. 6, pp. 1733-1743, June 2020
[7] N. Surana, M. Lavania, A. Barma and J. Mekie, "Robust and High-Performance 12-T Interlocked SRAM for In-Memory Computing," 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020, pp. 1323-1326.
[8] J. -W. Su et al., "16.3 A 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for AI Edge Chips," 2021 IEEE International Solid- State Circuits Conference (ISSCC), San Francisco, CA, USA, 2021, pp. 250-252.
[9] M. Ali, A. Jaiswal, S. Kodge, A. Agrawal, I. Chakraborty and K. Roy, "IMAC: In-Memory Multi-Bit Multiplication and ACcumulation in 6T SRAM Array," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 67, no. 8, pp. 2521-2531, Aug. 2020
[10] Z. Jiang, S. Yin, J. -S. Seo and M. Seok, "C3SRAM: An In-Memory-Computing SRAM Macro Based on Robust Capacitive Coupling Computing Mechanism," in IEEE Journal of Solid-State Circuits, vol. 55, no. 7, pp. 1888-1897, July 2020
[11] A. Jaiswal, I. Chakraborty, A. Agrawal and K. Roy, "8T SRAM Cell as a Multibit Dot-Product Engine for Beyond Von Neumann Computing," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 11, pp. 2556-2567, Nov. 2019, doi: 10.1109/TVLSI.2019.2929245.
[12] R. Liu, D. Mahalanabis, H. J. Barnaby and S. Yu, "Investigation of Single-Bit and Multiple-Bit Upsets in Oxide RRAM-Based 1T1R and Crossbar Memory Arrays," in IEEE Transactions on Nuclear Science, vol. 62, no. 5, pp. 2294-2301, Oct. 2015
[13] G. Pedretti, D. Ielmini. "In-Memory Computing with Resistive Memory Circuits: Status and Outlook. " Electronics 2021, 10, 1063.
[14] S. Zhang, G. L. Zhang, B. Li, H. H. Li and U. Schlichtmann, "Lifetime Enhancement for RRAM-based Computing-In-Memory Engine Considering Aging and Thermal Effects," 2020 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2020, pp. 11-15
[15] G. Li Zhang, B. Li, Y. Zhu, S. Zhang, T. Wang, Y. Shi, T.-Y. Ho, Hai (Helen) Li, and Ulf Schlichtmann. 2020. "Reliable and Robust RRAM-based Neuromorphic Computing. " in Proceedings of the 2020 on Great Lakes Symposium on VLSI (GLSVLSI ′20). Association for Computing Machinery, New York, NY, USA, 33–38.
[16] G. Radhakrishnan, Y. Yoon and M. Sachdev, "Monitoring Aging Defects in STT-MRAMs," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 12, pp. 4645-4656, Dec. 2020
[17] T. Na, S. H. Kang and S. -O. Jung, "STT-MRAM Sensing: A Review," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 68, no. 1, pp. 12-18, Jan. 2021
[18] Z. He, S. Angizi and D. Fan, "Exploring STT-MRAM Based In-Memory Computing Paradigm with Application of Image Edge Extraction," 2017 IEEE International Conference on Computer Design (ICCD), 2017, pp. 439-446
[19] G. Radhakrishnan, Y. Yoon and M. Sachdev, "A Parametric DFT Scheme for STT-MRAMs," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 7, pp. 1685-1696, July 2019
[20] X. Lian, L. Wang, "Boolean Logic Function Realized by Phase-Change Blade Type Random Access Memory," in IEEE Transactions on Electron Device, vol. 69, no. 4, Apr. 2022
[21] F. Jiao, B. Chen, K. Li, L. Wang, X. Zeng, F. Rao, "Monatomic 2D Phase-Change Memory for Precise Neuromorphic Computing, " Applied Materials Today 20, 2020
[22] W. Qiao, Y. Zhao, J. Yang, C. Liu, P. Jiang, Q. Ding, T. Gong, Q. Luo, H. Lv, M. Liu, "Non-volatile In Memory Dual-Row X(N)OR Operation with Write Back Circuit Based on 1T1C FeRAM, " in 2020 IEEE 15th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Nov. 2020
[23] D. Lehninger, M. Lederer, T. Ali, T. Kampfe, K. Mertens, K. Seidel, "Enabling Ferroelectric Memories in BEoL - towards advanced neuromorphic computing architectures,"in 2021 IEEE International Interconnect Technology Conference (IITC), July 2021
[24] H. Kim, et al., “Read disturb-free SRAM bit-cell for subthreshold memory applications,” in Proc. of International Conference on Electron Devices and Solid-State Circuits (EDSSC), pp. 1-2, 2017
[25] S. Bavikadi, Purab Ranjan Sutradhar, Khaled N. Khasawneh, Amlan Ganguly, and Sai Manoj Pudukotai Dinakarrao. 2020. A Review of In-Memory Computing Architectures for Machine Learning Applications. In Proceedings of the 2020 on Great Lakes Symposium on VLSI (GLSVLSI ′20). Association for Computing Machinery, New York, NY, USA, 89–94.
[26] W. Chang, Y. -G. Chen, P. -Y. Huang and J. -F. Li, "An Aging-Aware CMOS SRAM Structure Design for Boolean Logic In-Memory Computing," 2021 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2021, pp. 1-4.
[27] Y. -G. Chen, C. -H. Wang and I. -C. Lin, "An Aging Detection and Tolerance Framework for 8T SRAM Dot Product CIM Engine," 2022 19th International SoC Design Conference (ISOCC), Gangneung-si, Korea, Republic of, 2022, pp. 161-162.
[28] C. Sandionigi, O. Heron, C. Bertolini and R. David, "When processors get old: Evaluation of BTI and HCI effects on performance and reliability," 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Greece, 2013, pp. 185-186.
[29] S. Duan, P. Wang and G. Sai, "BTI Aging Monitoring based on SRAM Start-up Behavior," 2020 IEEE 29th Asian Test Symposium (ATS), Penang, Malaysia, 2020, pp. 1-6.
[30] R. B. Almeida, P. F. Butzen and C. Meinhardt, "16NM 6T and 8T CMOS SRAM Cell Robustness Against Process Variability and Aging Effects," 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI), Bento Gonçalves, Brazil, 2018, pp. 1-6.
[31] S. Mukhopadhyay, et al., “A Comparative Study of NBTI and PBTI Using Different Experimental Techniques,” in Proc. of IEEE Transactions on Electron Devices, vol. 63, no. 10, pp. 4038-4045, 2016
[32] M. Karimi, N. Rohbani and S. Miremadi, “A Low Area Overhead NBTI/PBTI Sensor for SRAM Memories,” in Proc. of IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 11, pp. 3138-3151, 2017
[33] V. Pandey, M. Pattanaik and R. K. Tiwari, "Impact of NBTI/ HCI /PBTI ON 6T SRAM reliability," 2020 Fourth World Conference on Smart Trends in Systems, Security and Sustainability (WorldS4), London, UK, 2020, pp. 559-562
[34] B. Tudor, et al., “MOSRA: An efficient and versatile MOS aging modeling and reliability analysis solution for 45nm and below,” in Proc. of 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, 2010
[35] P. Pouyan, E. Amat and A. Rubio, "Adaptive Proactive Reconfiguration: A Technique for Process-Variability- and Aging-Aware SRAM Cache Design," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 9, pp. 1951-1955, Sept. 2015
[36] R. Zhang, T. Liu, K. Yang and L. Milor, "Modeling of the reliability degradation of a FinFET-based SRAM due to bias temperature instability, hot carrier injection, and gate oxide breakdown," 2017 IEEE International Integrated Reliability Workshop (IIRW), South Lake Tahoe, CA, USA, 2017, pp. 1-4.
[37] S. Duan, P. Wang and G. Sai, "BTI Aging Monitoring based on SRAM Start-up Behavior," 2020 IEEE 29th Asian Test Symposium (ATS), Penang, Malaysia, 2020, pp. 1-6.
[38] R. B. Almeida, P. F. Butzen and C. Meinhardt, "16NM 6T and 8T CMOS SRAM Cell Robustness Against Process Variability and Aging Effects," 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI), Bento Gonçalves, Brazil, 2018, pp. 1-6.
[39] G. Singh and Supriya, "A study of encryption algorithms (RSA DES 3DES and AES) for information security", International Journal of Computer Applications, vol. 67, pp. 33-38, April 2013.
[40] K. Pagiamtzis and A. Sheikholeslami, "Content-addressable memory (CAM) circuits and architectures: a tutorial and survey," in IEEE Journal of Solid-State Circuits, vol. 41, no. 3, pp. 712-727, March 2006, doi: 10.1109/JSSC.2005.864128.
[41] Y.G. Chen, et al., “Multibit Retention Registers for Power Gated Designs: Concept, Design, and Deployment,” in Proc. of IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, pp. 507-518, 2014.
[42] Anno. Op-amp Comparator - Electronics Tutorials [online] Available at: www.electronics-tutorials.ws/opamp/op-amp-comparator.html [Accessed 22th July 2022]
[43] J. M. Mulder, N. T. Quach and M. J. Flynn, "An area model for on-chip memories and its application," in IEEE Journal of Solid-State Circuits, vol. 26, no. 2, pp. 98-106, Feb. 1991.
指導教授 陳聿廣(Yu-Guang Chen) 審核日期 2023-10-12
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明