博碩士論文 92521032 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:77 、訪客IP:18.117.232.198
姓名 蕭儒遠(Ju-Yuan Hsiao)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 奈米CMOS晶片內序列傳輸之接收器
(Nanometer CMOS On Chip Serial Link Receiver)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 低雜訊輸出緩衝器設計及USB2實體層的傳收器製作
★ 低雜訊輸出緩衝器設計及USB2實體層的時脈回復器製作★ 應用於通訊系統的內嵌式數位訊號處理器架構
★ 應用於數位儲存示波器之100MHz CMOS 寬頻放大器電路設計★ 具有QAM/VSB模式的載波及時序回復之數位積體電路設計
★ 應用於通訊系統中數位信號處理器之模組設計★ 應用於藍芽系統之CMOS射頻前端電路設計
★ 具有QAM/VSB 模式之多重組態可適應性等化器的設計與實現★ 適用於高速通訊系統之可規劃多模式里德所羅門編解碼模組
★ 應用於橢圓曲線密碼系統之低複雜性有限場乘法器設計★ 適用於通訊系統之內嵌式數位訊號處理器
★ 雷射二極體驅動電路★ 適用於通訊系統的內嵌式數位信號模組設計
★ 適用在通訊應用之可參數化內嵌式數位信號處理器核心★ 一個高速╱低複雜度旋轉方法的統一設計架構:角度量化的觀點
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 在系統單晶片中,設計晶片中的拉線電路十分困難,因為在模組之間的拉線通常會長到5000mm,而且拉線損耗的動態能量在整體晶片中更佔了30%。此論文將從設計者的觀點描述未來拉線的重要性和並提出新的拉線電路。
因此,我們提出兩種特殊的架構來改善拉線電路的能量損耗和速度,分別是電流感應電路和並列轉序列電路。首先, 我們提出序列式的傳輸應用在晶片內拉線電路上,這種傳輸的好處是很低的拉線複雜度,同時也可比傳統的插入訊號放大器方式省下近60%能量損耗。另外,我們也提出利用電流感應的技術,這種技術可以提供接收端的阻抗匹配同時也達到較高的傳輸頻寬,此外這種技術應用比起電壓感應的技術更加適用於在序列式傳輸上。
最後,我們提供一個模組分析的工具,可以根據製程的參數、資料傳輸的特性和拉線的長度,提供並且幫助我們設計符合我們所需求的電路。最後,我們分別採用台積電130微米 1P8M CMOS和聯電130微米 1P8M CMOS製程實作出晶片並達到資料速度5Gbps。
摘要(英) In SOC system design using nano scale CMOS process, it is difficult to design interconnects for low power and high speed. It is because modules may use global interconnects with length up to 5000mm to exchange signals. Besides, 30% of the chip’’s dynamic power was consumed by interconnects. In this thesis, we first describe the importance of wires in the future nano scale process and the trend of interconnect link circuit and from designer’s point of view.
Therefore, we propose two novel schemes to improve the power and speed of interconnect links: current sensing technique and parallel to serial link circuit. First, we propose a serial link technique to reduce power consumption without decreasing throughout. Parallel to serial links has low complexity in routing area and save power consumption up to 60% than optimal repeater insertion method. Besides, we also propose current sensing technique for long interconnects. The advantages of current sensing techniques are: First, current sensing provides suitable impedance in receiver side to match the interconnect impedance which provides higher bandwidth in signal transmission. Second, current sensing amplifier is more suitable than voltage sensing in parallel to serial technology.
Finally, we develop a power model of interconnect link. According to technology parameter, data activity, and interconnect length, power model will help to decide the exact interconnect parameters to satisfy the performance requirements. Finally, we implement two chips in tsmc 130nm and UMC 130nm to show the performance which data rate can up to 5Gbps.
關鍵字(中) ★ 奈米
★ 接收機
關鍵字(英) ★ Receiver
★ Nanometer
論文目次 Contents
Chapter 1 Introduction 1
1.1 Introduction to Network on Chip (NOC) 1
1.2 Motivation and Goals 3
1.3 Thesis Organization 4
Chapter 2 On Chip Interconnect 5
2.1 On Chip Wires 5
2.2 Wire Characteristics 6
2.2.1 Resistance 6
2.2.2 Capacitance 7
2.2.3 Inductance 8
2.3 Conventional On-Chip Circuits 9
2.4 BER and Voltage Noise 11
2.4.1 Reflections 11
2.4.2 Inter-Signal Cross-Talk 12
2.5 New Approaches to Global Interconnect 13
Chapter 3 Current-Sensing Fundamentals 15
3.1 Definition of Current-Sensing 15
3.1.1 Voltage mode 16
3.1.2 Current Mode 16
3.2 Differential Current Sensing 17
3.2.1 Current Sensing Amplifer 18
3.2.2 Modified Current-Sensing Amplifier 22
3.3 Current-Sensing Amplifier with De-Skew Scheme 24
3.4 Comparison of Circuit-Sensing Circuits 28
3.5 Summary 28
Chapter 4 Power Model of Interconnect 30
4.1 Power Model for Three Circuit Types 30
4.1.1 Optimal Repeater Insertion Power Model 32
4.1.2 Differential Voltage-Sensing Power Model 34
4.1.3 Differential Current-Sensing Power Model 36
4.2 Design Parameters of Power Model 38
4.2.1 Voltage Swing 38
4.2.2 Interconnect Width 40
4.2.3 Interconnect Spacing 42
4.2.4 Interconnect Length 43
4.2.5 Data Activity 45
4.2.6 Interconnect Length with Bandwidth 46
4.3 Estimated Interconnect Specifications in VDSM 47
4.4 Summary 49
Chapter 5 Transceiver Design and Implementation 50
5.1 Chip Overview 50
5.2 Receiver 58
5.2.1 Sampler 59
5.2.2 BER Circuit 61
5.3 Implementation 66
5.3.1 tsmc 130nm 66
5.3.2 UMC 130nm 70
5.4 Measurements 72
5.5 Comparisons and Discussions 73
Chapter 6 Conclusions 79
Bibliography 81
參考文獻 [1] S. P. Jeng, M.-C. Chang, and R. H. Havemann, “Process integration and manufacturing issues for high performance interconnect,” in MRS Symp. Proc. Adv. Metallization for Devices and Circuits, 1994, pp. 25–31.
[2] J. Cong, T. Kong and D.Z. Pan, "Buffer block planning for interconnect-driven floorplanning," IEEE International Conference on Computer Aided Design, 1999, pp. 358-363.
[3] A. Nalamalpu and W. Burleson, "Quantifying and mitigating the effects of repeater placement constraints on interconnect performance," IEEE Trans. VLSI Systems, pp. 24-32, 1995.
[4] H.B. Bakoglu and J.D. Meindl, "Optimal interconnection circuits for VLSI," IEEE Trans. Electron Devices, pp. 903-909, 1985.
[5] C.J. Alpert, "Wire segmenting for improved buffer insertion," IEEE Design Automation Conference, 1997, pp. 588-593.
[6] J. Lillis, C.K. Cheng and T. Lin, "Optimal wire sizing and buffer insertion for low power and a generalized delay model," IEEE journal of Solid State Circuits, pp. 437-446, 1996.
[7] A. Nalamalpu and W. Burleson, "Repeater insertion in deep sub-micron CMOS : Ramp-based analytical model and placement sensitivity analysis," IEEE International Symposium on Circuits and Systems, 2000, pp. 766-769.
[8] V. Adlerand and E.G. Friedman, "Repeater design to reduce delay and power in resistive interconnect," IEEE Trans. Circuits and Systems - II, pp. 607-616, 1998.
[9] Y.I. Ismail and E.G. Friedman, "Effects of inductance on the propagation delay and repeater insertion in VLSI circuits," IEEE Trans. Very Large Scale Integration (VLSI) Systems, pp. 195-206, 2000.
[10] D.W. Dobberpuhl et. Al., "A 200-MHz 64-b dual-issue CMOS microprocessor," IEEE Journal of Solid State Circuits, pp. 1555-1567, 1992.
[11] D. Sylvester and K. Keutzer, "Getting to the bottom of deep submicron II : A global paradigm," Proceedings of IEEE International Symposium on Physical Design, 1999, pp. 193-200.
[12] Z. Pan, L. He, et. Al., "Interconnect design for deep submicron ICs," Proceedings of IEEE Conference on Computer Aided Design, 1997, pp. 478-485.
[13] D. Liu and C. Svensson, "Power consumption estimation in CMOS VLSI chips," IEEE journal of Solid-State Circuits, vol. 29, pp. 663-670, June 1994.
[14] G. Chandra, P. Kapur and K. C. Saraswat, "Scaling trends for the on chip power dissipation," Proc. of the IEEE 2002 International Interconnect Technology Conference, 2002, pp. 154-156.
[15] A. Maheshwari, W. Burleson, “Differential current-sensing for On-Chip interconnects,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vo.12, Issue:12, pp. 1321 – 1329, Dec.2004.
[16] H. Zhang, V. George and J. M. Rabaey, “Low-Swing On-chip Signaling Techniques : Effectiveness and Robustness," IEEE Trans. VLSI Systems, pp. 264-272, 2000.
[17] K. Lee, S.J. Lee, S.E. Kim, “A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform,” IEEE International Solid-State Circuits Conference, vol.1, 2004, pp. 152 – 518.
[18] Y. Ismail, E. Friedman, and J. Neves. “Figures of Merit to Characterize the Importance of On-Chip Inductance,” IEEE Trans. VLSI systems, vol. 7, no. 4, pp. 442-449, Dec. 1999.
[19] R. Ho, K. Mai, M. Horowitz, “Efficient on-chip global interconnects,” IEEE VLSI Circuits, June 2003, pp. 271 – 274.
[20] Semiconductor Industry Association, “International Technology Roadmap for Semiconductors 2001 Update,” 2001.
[21] E. Seevinck, P.J. van Beersand and H. Ontrop, "Current-mode techniques for high-speed VLSI circuits with application to current sense amplifier for CMOS SRAM's," IEEE Journal of Solid-State Circuits, pp. 525-536, 1991.
[22] A. Mahcshwari and W. Burleson. "Current Sensing Techniques for Global Interconnects in Very Deep Submicron(VDSM) CMOS," In Proceedings of IEEE Computer Society Workshop on VLSI, April 2001.
[23] C. K. K. Yang, “Design of High-Speed Serial Links in CMOS,” Sponsored by Center for integrated Systems, Sun Microsystems, and LSI Logic Inc, 1998.
[24] M. L. Mui; K. Banerjee, A. Mehrotra, “A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth, and power dissipation,” IEEE Trans. Electron Devices, vol. 51, Issue: 2 pp. 195 – 203, Feb. 2004,.
[25] C. Svensson, “Optimum voltage swing on on-chip and off-chip interconnect,”
IEEE Journal of Solid-State Circuits, Vol.36, Issue: 7, pp. 1108 – 1112, July 2001.
[26] R. Bashirullah,. W. Liu, R. Cavin, ”Delay and power model for current-mode signaling in deep submicron global interconnects,” Proceedings of the IEEE Custom Integrated Circuits Conference, May 2002, pp. 513 – 516.
[27] H. Oguey and E. Vittoz. CODYMOS frequency dividers achieve low power consumption and high frequency. Electronic Letters, pp. 386-387, Aug. 1973.
[28] L. Luo, J. Wilson, S. Mick, J. Xu, P. Franzon, and L. Zhang, “3Gb/s AC-Coupled chip-to-chip communication using a Low-Swing pulse receiver,” IEEE International Solid-State Circuits Conference, Feb 6-10. 2005.
[29] J. H. Huang, “Phase-locked loop based multi-phase clock generator,” M.S. dissertation, Dep. Elec. Eng., National Central University of Taiwan, July. 2005.
指導教授 鄭國興、周世傑
(Kuo-Hsing Cheng、Shyh-Jye Jou)
審核日期 2005-7-17
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明