English  |  正體中文  |  简体中文  |  全文筆數/總筆數 : 78852/78852 (100%)
造訪人次 : 38467924      線上人數 : 2377
RC Version 7.0 © Powered By DSPACE, MIT. Enhanced by NTU Library IR team.
搜尋範圍 查詢小技巧:
  • 您可在西文檢索詞彙前後加上"雙引號",以獲取較精準的檢索結果
  • 若欲以作者姓名搜尋,建議至進階搜尋限定作者欄位,可獲得較完整資料
  • 進階搜尋


    請使用永久網址來引用或連結此文件: http://ir.lib.ncu.edu.tw/handle/987654321/90872


    題名: 基於電阻式記憶體之運算記憶體測試;Testing of RRAM-based Computing-In-Memories
    作者: 楊育丞;Yang, Yu-Cheng
    貢獻者: 電機工程學系
    關鍵詞: 記憶體內運算;電阻式隨機存取記憶體;記憶體測試;測試演算法生成;Computing-In-Memories;Resistive Random Access Memory;Memory Testing;Test Algorithm Generation
    日期: 2023-02-02
    上傳時間: 2023-05-09 18:13:40 (UTC+8)
    出版者: 國立中央大學
    摘要: 現代馮紐曼(von Neumann)計算架構在運算(computing)單元與記憶體單元間的資料傳輸成為資料密集型(data-intensive)應用中系統性能與能耗的瓶頸。記憶體內運算(CIM)架構被認為是克服該瓶頸的一個選擇。CIM具有儲存和運算的功能。電阻式隨機存取記憶體(RRAM)是其中一種非揮發性記憶體,它被認為是實現CIM的一個好的選擇。在這篇論文中,我們提出基於RRAM之運算記憶體故障建模及測試包含了記憶體和邏輯運算。首先,藉由插入細胞內(intra-cell)和細胞間(inter-cell)的電性缺陷(electrical defect)對基於1T1R RRAM的CIM記憶體進行了故障建模(fault modeling)。定義了幾個新的故障,包括運算故障和相反樣式相依(complement-pattern-dependent)的故障。此外,在word-oriented記憶體中,故障可分為字內(intra-word)和字間(inter-word)故障。然後,通過考慮字內故障和字間故障,分別提出了6N的March測試與10N的March測試。為了能夠產生出運算和記憶體故障的測試演算法,提出了一種用於CIM記憶體的測試演算法生成(test algorithm generation)方法。CIM記憶體的測試演算法生成方法可以通過檢查運算故障的運算元來減少生成的時間。測試演算法中的運算元在故障模擬前被檢查可以消除許多冗餘的測試演算法。最後,由於基於1T1R RRAM的CIM記憶體故障建模結果,我們關注到電阻分佈重疊的問題。為了克服這個問題,我們提出了一個新的架構,並且可以擴展到多個運算元的操作。同時,對於這個架構進行了測試和故障建模。介紹了一些新的運算故障。通過考慮字內故障和字間故障,分別提出了9N March測試和12N March測試,以涵蓋新架構定義的故障。;The data movement between the computing unit and memory unit of modern von Neumann computing architecture becomes a bottleneck of system performance and energy consumption for data intensive applications. Computing-in-memory (CIM) architecture is considered as an alternative to overcome the bottleneck. A CIM has the function of memory and computing. Resistive random access memory (RRAM) is one of nonvolatile memories, which is considered as a good candidate for the implementation of CIMs. In this thesis, we propose fault modeling and testing techniques for RRAM-based CIMs with memory and logic operations. Firstly, fault modeling for 1T1R RRAM-based CIMs is executed by injecting intra-cell and inter-cell electrical defects. Several new faults are defined, including computing faults and complement-pattern-dependent faults. Also, the faults can be divided into intra-word and inter-word faults for word-oriented memory. Then, a 6N March test and a 10N March test are proposed by considering intra-word faults and inter-word faults, respectively. A test algorithm generation method for CIM memories is proposed to generate test algorithm for computing and memory faults as well. The test algorithm generation method for CIM memories can reduce generation time by checking the operands of computing faults. The operands in test algorithms are checked before fault simulation, which can eliminate many redundant test algorithms. Finally, we focus on the issue which is the overlap of resistance distribution due to the result of fault modeling for 1T1R RRAM-based CIMs. To overcome this issue, a new architecture is proposed and it can be extended to multi-operand operation. Also, the testing and fault modeling of this architecture are performed. Some new computing faults are introduced. A 9N March test and a 12N March test are proposed by considering intra-word faults and inter-word faults to cover the defined faults of new architecture, respectively.
    顯示於類別:[電機工程研究所] 博碩士論文

    文件中的檔案:

    檔案 描述 大小格式瀏覽次數
    index.html0KbHTML99檢視/開啟


    在NCUIR中所有的資料項目都受到原著作權保護.

    社群 sharing

    ::: Copyright National Central University. | 國立中央大學圖書館版權所有 | 收藏本站 | 設為首頁 | 最佳瀏覽畫面: 1024*768 | 建站日期:8-24-2009 :::
    DSpace Software Copyright © 2002-2004  MIT &  Hewlett-Packard  /   Enhanced by   NTU Library IR team Copyright ©   - 隱私權政策聲明