博碩士論文 975201118 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:51 、訪客IP:3.137.157.45
姓名 陳詠孝(Yong-Xiao Chen)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於三維積體電路之記憶體架構探索與評估方法
(Architecture Exploration and Evaluation Methods for Memories in 3D ICs)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 利用矽穿孔(TSV)方法的三維整合技術(3D integration technology),已被公認為未來的晶片設計技術之一。相較於傳統的二維的積體電路與整合技術,三維整合技術可以提供更多的好處,例如:減少外觀的面積、減少導線長度、高效能、較低的功率消耗、高功能性、異質整合等等的好處。然而,以矽穿孔為基礎的三維積體電路(3D ICs)在量產前,有許多挑戰必須被克服,例如:良率、測試、功率、散熱、技術與工具開發等等的挑戰。
在本篇論文,我們提出應用於三維積體電路的記憶體架構的探索以及評估的方法。在本文的第一部分,我們開發了一個針對三維隨機記憶體(3D RAMs)的架構評估與探索的工具。此工具可以評估該三維隨機記憶體的效能、功率、面積、良率以及成本。與現存的工具3DCacti相比,我們更進一步將良率與成本的因素考慮進來。如此一來此工具可以輔助設計者選擇較好的三維架構,進而縮短開發三維隨機記憶體的時間。
在本文的第二部分,我們提出了一個針對三維內容定址記憶體(3D CAMs)的探索與評估得開發工具。內容定址記憶體與隨機記憶體在架構上有些不同。例如:內容定址記憶體有優先權位址編碼器(Priority address encoder, PAE)。首先,我們針對三維內容定址記憶體的架構建立功率、延遲等模型,根據這些模型建立開發工具。如此一來,可以很快速的針對不同三維內容定址記憶體的架構做評估,並提供使用者資訊何種架構比較適合。
散熱在三維積體電路中,是必須要被克服的問題之一。在論文的最後部分,我們提出一個應用於多核心的處理器¬ 記憶體堆疊晶片中,熱感知(Thermal-aware)的記憶體陣列架構。本文中提出兩個熱感知重新對應(remapping)的組態。由實驗結果得知,藉由熱感知重新對應的方法,溫度峰值可以被減少。
摘要(英) Three-dimensional (3D) integration technology using through-silicon-via (TSV) has been widely acknowledged as one of future integrated circuit (IC) design technologies. 3D integration technology offers many benefits over 2D integration technology, such as high performance, low power, heterogeneous integration, small footprint, etc. However, many challenges should be overcome before volume production of TSV-based 3D ICs become possible, e.g., yield and test challenges, power and thermal challenges, infrastructure challenges, etc.
In this thesis, we propose memory architecture evaluation and exploration methods for 3D ICs. In the first part, an architecture and exploration tools for 3D random access memories (RAMs) is developed. The tool can estimate the performance, power consumption, footprint, yield, and cost for 3D RAMs architectures. Major difference between proposed tool and the existing, 3DCacti, tool is the capability of yield and cost estimation of a 3D RAMs. The designer can get a better 3D architecture which is met his requirement using the proposed tool. This can drastically shorten the design cycle of 3D RAMs.
In the second part, we propose an architecture evaluation and evaluation tool for 3D content addressable memories (CAMs). The CAM is much different from the RAM in architecture. For example, the CAM typical has a priority address encoder (PAE). Models of power, delay, and cost model for 3D CAMs are developed first. Then, the proposed tool can evaluate different 3D CAM architectures such that a better 3D CAM architecture can be obtained easily.
Finally, we propose a thermal-aware memory array architecture to alleviate the thermal problem in multi-core processor-memory stacked chips. Through thermal-aware remapping scheme, the peak temperature can be reduced. Two configuration types for thermal-aware remapping scheme are proposed. Experimental results show that it is possible to find a new configuration such that the peak temperature of the new configuration is lower than that of the original configuration.
關鍵字(中) ★ 三維定址存取記憶體
★ 架構探索與評估
★ 三維積體電路
★ 三維隨機存取記憶體
關鍵字(英) ★ architecture exploration and evaluation
★ 3D CAMs
★ 3D ICs
★ 3D RAMs
論文目次 1 Introduction 1
1.1 Three-Dimensional (3D) Integration Technologies . . . . . . . . . . . . . . . 1
1.2 Challenges for 3D Integration . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.3 Thesis Contribution and Organization . . . . . . . . . . . . . . . . . . . . . 4
2 A Memory Architecture Exploration and Evaluation Tool for 3D RAMs 5
2.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
2.2 Proposed 3D RAM Architecture . . . . . . . . . . . . . . . . . . . . . . . . . 6
2.3 3D RAM Design Estimation and Modeling . . . . . . . . . . . . . . . . . . . 8
2.3.1 Overview of Evaluation Flow . . . . . . . . . . . . . . . . . . . . . . 8
2.3.2 TSV Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.3.3 Performance, Power, and Footprint Modeling . . . . . . . . . . . . . . 12
2.3.4 Yield and Cost Modeling . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.3.5 Architecture Optimization for 3D RAMs . . . . . . . . . . . . . . . . 15
2.4 Evaluation and Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
3 Architecture Exploration and Analysis for 3D CAMs 21
3.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
3.2 Typical 2D CAM Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . 22
3.3 Partitioning Strategies for 3D CAM . . . . . . . . . . . . . . . . . . . . . . . 26
3.3.1 Searchline-Partitioned Architecture . . . . . . . . . . . . . . . . . . . 26
3.3.2 Matchline-Partitioned Architecture . . . . . . . . . . . . . . . . . . . 26
3.4 Modeling for 3D CAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
3.4.1 Matchline Energy for 3D CAM . . . . . . . . . . . . . . . . . . . . . 33
3.4.2 Searchline Energy for 3D CAM . . . . . . . . . . . . . . . . . . . . . 34
3.4.3 Delay Modeling for 3D CAM . . . . . . . . . . . . . . . . . . . . . . 35
3.4.4 PAE Modeling for 3D CAM . . . . . . . . . . . . . . . . . . . . . . . 37
3.5 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
3.5.1 TSV Cost . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
3.5.2 Effect of Various Configurations on Delay . . . . . . . . . . . . . . . 39
3.5.3 Effect of Various Configurations on Energy . . . . . . . . . . . . . . . 40
3.5.4 Effect of Various Configurations on EDP . . . . . . . . . . . . . . . . 41
3.5.5 Delay and Energy Analysis for PAE . . . . . . . . . . . . . . . . . . . 43
3.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
4 Thermal-Aware Memory Array Architecture for Processor-Memory
Stacked 3D ICs 46
4.1 Related Work and Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . 46
4.2 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
4.3 Thermal-Aware Memory Design Methodologies . . . . . . . . . . . . . . . . 48
4.3.1 Overview of Thermal-Driven Remapping Scheme . . . . . . . . . . . 48
4.3.2 Reconfigurable Memory Tier Design . . . . . . . . . . . . . . . . . . . 50
4.3.3 Thermal-Aware Remapping Methodology . . . . . . . . . . . . . . . . 51
4.4 Experimental Analysis and Results . . . . . . . . . . . . . . . . . . . . . . . 54
4.4.1 Experimental Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
4.4.2 Thermal Evaluation for Different 3D Systems . . . . . . . . . . . . . 55
4.4.3 Hardware Implementation . . . . . . . . . . . . . . . . . . . . . . . . 60
5 Conclusion and Future Work 63
5.1 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
5.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
參考文獻 [1] V. F. Pavlidis and E. G. Friedman, “Interconnect-based design methodologies for three-dimensional integrated circuits,” Proc. of the IEEE, vol. 97, no. 1, pp. 123–140, Jan. 2009.
[2] P. Marchal, B. Bougard, G. Katti, M. Stucchi, W. Dehaene, A. Papanikolaou, D. Verkest, B. Swinnen, and E. Beyne, “3-D technology assessment: Path-finding the technology/design sweet-spot,” Proc. of the IEEE, vol. 97, no. 1, pp. 96–107, Jan. 2009.
[3] Y.-J. Hu, J.-F. Li, and Y.-J. Huang, “3-D content addressable memory architectures,” in Proc. IEEE Int’l Workshop on Memory Technology, Design and Testing (MTDT), Hsinchu, Sept. 2009, pp. 59–64.
[4] W. R. Davis, E. C. Oh, A. M. Sule, and P. D. Franzon, “Application exploration for 3-D integrated circuits: TCAM, FIFO, and FFT case studies,” IEEE Trans. on VLSI Systems, vol. 17, no. 4, pp. 496–506, Apr. 2009.
[5] A. K. Coskun, A. B. Kahng, and T. S. Rosing, “Temperature- and cost-aware design of 3d multiprocessor architecture,” in Euromicro Conf. on Digital System Design (DSD), Aug. 2009, pp. 183–190.
[6] M. Motoyoshi, “Through-silicon via (TSV),” Proc. of the IEEE, vol. 97, no. 1, pp. 43–48, Jan. 2009.
[7] T. Zhang, B. Bougard, G. Zhang, Z. R. Huang, and J.-Q. Lu, “3-D data storage power delivery, and RF/optical transceiver - case studies of 3-d integration from system design perspectives,” Proc. of the IEEE, vol. 97, no. 1, pp. 161–174, Jan. 2009.
[8] W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and P. Franzon, “Demystifying 3D ICs: the pros and cons of going vertical,” IEEE Design & Test of Computers, vol. 22, no. 6, pp. 498–510, Nov.-Dec. 2005.
[9] J.-Q. Lu, “3-D hyperintegration and packaging technologies for micro-nano systems,” Proc. of the IEEE, vol. 97, no. 1, pp. 18–30, Jan. 2009.
[10] B. Jacob, S. W. Ng, and D. T. Wang, Memory Systems: Cache, DRAM, Disk, 1st ed. Burlington, MA: Morgan Kaufmann Publishers, 2007.
[11] B. Black, D. W. Nelson, C. Webb, and N. Samra, “3d processing technology and its impact on IA32 microprocessors,” in Proc. IEEE Int’l Conf. Comput. Des. (ICCD), Washington, DC, USA, Oct. 2004, pp. 316–318.
[12] B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCaule, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb, “Die stacking (3D) microarchitecture,” in Proc. IEEE/ACM Int’l Symp. On Microarchitecture, Dec. 2006, pp. 469–479.
[13] P. Jacob, A. Zia, O. Erdogan, P. M. Belemjian, J.-W. Kim, M. Chu, R. P. Kraft, J. F. Mcdonald, and K. Bernstein, “Mitigating memory wall effects in high-clock-rate and multicore cmos 3-D processor memory stacks,” Proc. of the IEEE, vol. 97, no. 1, pp. 108–122, Jan. 2009.
[14] C. C. Liu, H. Ganusov, M. Burtscher, and S. Tiwari, “Bridging the processor-memory performance gap with 3D IC technology,” IEEE Design & Test of Computers, vol. 22, no. 6, pp. 556–564, Nov.-Dec. 2005.
[15] P. Jacob, O. Erdogan, A. Zia, P. M. Belemjian, R. P. Kraft, and J. F. McDonald,
“Predicting the performance of a 3D processor-memory chip stack,” IEEE Design & Test of Computers, vol. 22, no. 6, pp. 540–547, Nov.-Dec. 2005.
[16] A. Zeng, J. Li, K. Rose, and R. J. Gutmann, “First-order performance prediction of cache memory with wafer-level 3D integration,” IEEE Design & Test of Computers, vol. 22, no. 6, pp. 548–555, Nov.-Dec. 2005.
[17] P. Emma and E. Kursun, “Opportunities and challenges for 3d systems and their design,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 6–14, Oct. 2009.
[18] H. Hua, C. Mineo, K. Schoenfliess, A. Sule, S. Melamed, R. Jenkal, and W. Davis, “Exploring compromises among timing, power and temperature in three-dimensional integrated circuits,” in Proc. IEEE/ACM Design Automation Conf. (DAC), July 2006, pp. 997–1002.
[19] C. Ferri, S. Reda, and R. Bahar, “Strategies for improving the parametric yield and profits of 3D ICs,” in Proc. IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), Nov. 2007, pp. 220–226.
[20] H.-H. S. Lee and K. Chakrabarty, “Test challenges for 3D integrated circuits,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 26–35, Sept. 2009.
[21] D. Kung and R. Puri, “CAD challenges for 3D ICs,” in Proc. of Asia and South Pacific Design Automation Conf.(ASP-DAC), Jan. 2009, pp. 421–422.
[22] S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. P. Jouppi, “CACTI 5.1,”
HP Laboratories, Palo Alto,” Technical Report, Apr. 2008. [Online]. Available: http://www.hpl.hp.com/techreports/2008/HPL-2008-20.html
[23] M. Mamidipaka and N. Dutt, “eCACTI: An enhanced power estimation model for on-chip caches,” CECS, University of California, Irvine,” Technical Report, Sep. 2004.
[24] Y. Xie, G. Loh, B. Black, and K. Bernstein, “Design space exploration for 3D architectures,” The Association for Computing Machinery, vol. 2, no. 2, pp. 65–103, Apr. 2006.
[25] Q. Wu, K. Rose, J.-Q. Lu, and T. Zhang, “Impacts of though-DRAM vias in 3D
processor-DRAM integrated systems,” in IEEE Int’l 3D System Integration Conf. (3DSiC), Sept. 2009, pp. 1–6.
[26] X. Chen and W. Davis, “Delay analysis and design exploration for 3D SRAM,” in IEEE Int’l 3D System Integration Conf. (3DSiC), Sept. 2009, pp. 1–4.
[27] R. Anigundi, H. Sun, J.-Q. Lu, K. Rose, and T. Zhang, “Architecture design exploration of three-dimensional (3D) integrated DRAM,” in Proc. Int’l Symp. on Quality Electronic Design (ISQED), Mar. 2009, pp. 86–90.
[28] Y.-F. Tsai, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, “Three-dimensional cache design exploration using 3DCacti,” in Proc. IEEE Int’l Conf. Comput. Des. (ICCD), Oct. 2005, pp. 519–524.
[29] C. E. Bauer and H. J. Neuhaus, “Advanced opportunity cost analysis of 3D packaging technologies,” in Electronics Packaging Technology Conference, Dec. 2008, pp. 338–343.
[30] S. Bhattacharjee and D. K. Pradhan, “LPRAM: A novel low-power high-performance RAM design with testability and scalability,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 5, pp. 637–651, May 2004.
[31] G. Katti, M. Stucchi, K. D. Meyer, and W. Dehaene, “Electrical modeling and characterization of through silicon via for three-dimensional ICs,” IEEE Trans. on Electron Devices, vol. 57, no. 1, pp. 256–262, Jan. 2010.
[32] S. M. Alam, R. E. Jones, S. Rauf, and R. Chatterjee, “Inter-strata connection characteristics and signal transmission in three-dimensional (3d) integration technology,” in Proc. Int’l Symp. on Quality Electronic Design (ISQED), Mar. 2007, pp. 580–585.
[33] S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. P. Jouppi, “Impact of wafer-level 3D stacking on the yield of ICs,” Future Fab Intl.,” Technical Report, Sept. 2007. [Online]. Available: http://www.future-fab.com/documents.asp?d id=4415
[34] N. Miyakawa, T. Maebashi, N. Nakamura, S. Nakayama, E. Hashimoto, and S. Toyoda, “New multi-layer stacking technology and trial manufacture,” Honda Research Institute Japan Co. Ltd.,” Technical Report, Nov. 2007.
[35] X. Dong and Y. Xie, “System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs),” in Proc. of Asia and South Pacific Design Automation Conf.(ASP-DAC), Jan. 2009, pp. 234–241.
[36] R. Weerasekera, L. R. Zheng, Z. Pamunuwa, and H. Tenhunen, “Two-dimensional and three-dimensional integration of heterogeneous electronic systems under cost, performance, and technological constraints,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 8, pp. 1237–1250, Aug. 2009.
[37] K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (CAM) circuits and architectures: a tutorial and survey,” IEEE Jour. of Solid-State Circuits, vol. 41, no. 3, pp. 712–727, Mar. 2006.
[38] M. Alioto, E. Consoli, and G. Palumbo, “Metrics and design considerations on the energy-delay tradeoff of digital circuits,” in Proc. IEEE Int’l Symp. on Circuits and Systems (ISCAS), May 2009, pp. 3150–3153.
[39] S. R. Ramirez-Chavez, “Encoding don’t cares in static and dynamic content addressable memories,” IEEE Trans. on Circuits and Systems II: Analog and Digital Signal Processing, vol. 39, no. 8, pp. 575–578, Aug. 1992.
[40] B. Agrawal and T. Sherwood, “Ternary CAM power and delay model: Extensions and uses,” IEEE Trans. on VLSI Systems, vol. 16, no. 5, pp. 554–564, May 2008.
[41] N.Weste and D. Harris, CMOS VLSI Design: A Circuit and System Perspective, 3rd ed. New York: Addison Wesley, 2005.
[42] S. J. E. Wilton and N. P. Jouppi, “CACTI: an enhanced cache access and cycle time model,” IEEE Jour. of Solid-State Circuits, vol. 31, no. 5, pp. 677–688, May 1996.
[43] W. Fung and M. Sachdev, “High performance priority encoder for content addressable memories,” in Proc. of Micronet R&D Annu. Workshop, Apr. 2004, pp. 49–50.
[44] G. H. Loh, Y. Xie, and B. Black, “Processor design in 3D die-stacking technologies,” IEEE Micro, vol. 27, pp. 31–48, May-June 2007.
[45] G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, “A novel architecture of the 3D stacked MRAM L2 cache for CMPs,” Proc. of High Performance Computer Architecture (HPCA), pp. 239–249, Feb. 2009.
[46] J. Cong, J. Wei, and Y. Zhang, “A thermal-driven floorplanning algorithm for 3D ICs,” in Proc. IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), Nov. 2004, pp. 306–313.
[47] W. L. Hung, G. M. Link, Y. Xie, V. Narayanan, and M. J. Irwin, “Interconnect and thermal-aware floorplanning for 3D microprocessors,” in Proc. Int’l Symp. on Quality Electronic Design (ISQED), Mar. 2006, pp. 98–104.
[48] M. Healy, M. Ekpanyapong, C. S. Ballapuram, S. K. Lim, H.-H. S. Lee, and G. H. Loh, “Multiobjective microarchitectural floorplanning for 2-D and 3-D ICs,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 1, pp. 38–52,
Jan. 2007.
[49] O. Ozturk, F. Wang, M. Kandemir, and Y. Xie, “Optimal topology exploration for application-specific 3D architectures,” in Proc. of Asia and South Pacific Design Automation Conf.(ASP-DAC), Jan. 2006, pp. 390–395.
[50] L. Cheng, L. Deng, and M. D. Wong, “Floorplanning for 3-D VLSI design,” in Proc. Of Asia and South Pacific Design Automation Conf.(ASP-DAC), Jan. 2005, pp. 405–411.
[51] W. Huang, K. Skadron, S. Gurumurthi, R. Ribando, and M. Stan, “Differentiating the roles of IR measurement and simulation for power and temperature-aware design,” in Proc. Int’l Symp. on Performance Analysis of Systems and Software (ISPASS), Apr. 2009, pp. 1–10.
[52] N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt, “The M5 simulator: Modeling networked systems,” IEEE Micro, vol. 26, no. 4, pp. 52–60, July-Aug. 2006.
[53] S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, “The SPLASH-2 programs: characterization and methodological considerations,” in Proc. Int’l Symp. Computer Architecture (ISCA), May 1995, pp. 24–36.
[54] U. G. Nawathe, M. Hassan, K. C. Yen, A. Kumar, A. Ramachandran, and D. Greenhill, “Implementation of an 8-core, 64-thread, power-efficient SPARC server on a chip,” IEEE Jour. of Solid-State Circuits, vol. 43, no. 1, pp. 6–20, Jan. 2008.
指導教授 李進福(Jin-Fu Li) 審核日期 2010-8-27
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明