博碩士論文 965401008 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:14 、訪客IP:18.191.97.242
姓名 許世玄(Shyh-Shyuan Sheu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 新興非揮發性記憶體之變異感知電路設計技術
(Variation-Aware Circuit Design Techniques for Emerging Non-volatile Memory)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 消費性電子產品的發展以多功能、高效能、長待機時間及輕薄短小為主要趨勢,其產品中所需之記憶體未來亦朝向大容量、高讀寫速度、低功率消耗及高使用壽命邁進。長期以來,記憶體從SRAM、DRAM等揮發性記憶體為主的技術、慢慢朝向以Flash、PCM、MRAM、FeRAM及ReRAM等非揮性記憶體來取代揮發性記憶體或同時共存之技術。非揮發性記憶體具有無電力狀態時,資料仍可保存之特性,因而讓消費性產品具有零待機功率消耗與快速開機等優勢。目前非揮發性記憶體主流以Flash記憶體為主流。然而Flash資料讀寫的時間長(約us~ms),限制產品操作速度; 而其讀寫次數(endurance)只有約105次,限制產品之使用壽命。面對Flash所將面臨的技術瓶頸,許多國內外大廠與研究機構均紛紛投入相變化記憶體(PCM)、磁性記憶體(MRAM)、鐵電記憶體(FeRAM)及電阻式記憶體(ReRAM)等下世代記憶體的開發,期望找出同時具有高讀寫速度、高讀寫次數,低電流寫入,低功率消耗之非揮發性記憶體以應用於未來的消費性產品需求。而本論文即是探討下世代記憶體中的PCM及RRAM,並分別討論其特性、面臨到的問題瓶頸、改善方法與Macro電路設計。
首先,本論文將提出目前記憶體的趨勢與需求,其次將針對PCM之特性予以分析討論,由於PCM主要特性是以內部晶格型態來儲存記憶體狀態。當晶格為結晶態(crystalline)時,為低阻態; 反之,當晶格為非晶態(amorphous)時,為高阻態。由於晶格型態是使用熱能來改變,因此PCM將使用電壓或電流流經元件以產生改變晶格型態所需之熱能。也因此將有電壓寫入與電流寫入二種方法與寫入電路。然而在記憶體Macro中,較長位元線(large number cells per bit-line)容易因為RC delay關係,造成寫入能量不足而導致元件無法轉態,降低記憶體可靠度。本篇文章將採用電流式寫入電路,並提出一自動偵測並補償較長位元線(large number cells per bit-line)所造成寫入能量不足之方法與電路,以提升其記憶體可靠度。
接著本論文將提出速度及耐熱度都比PCM要佳的ReRAM。ReRAM是以氧空缺造成filament形成或斷裂,來決定低阻態或高阻態。但因filament本身的鍵結,會有不同的變異,導致寫入之成功率下降,本論文提出寫入驗證電路,在寫入時予以進行驗證是否寫入成功,以提高記憶體可靠度。
論文最後將討論PCM 與ReRAM各自的優勢與缺點,並將提出未來ReRAM繼續發展之工作與未來之挑戰,以期ReRAM能應用於未來輕、薄、快速、待機時間長之新世代產品。
摘要(英) The development goals of consumer electronics products are multi-function, high performance, long standby time, small and thin. The memories of these products need high density, high read/write speed, low power consumption and long life time. The volatile memories including SRAM and DRAM have been used for long time. Nowadays, the volatile memory was integrated with non-volatile memory in many applications. The volatile memory in some products were even replaced by non-volatile memory to achieve the advantage of low power consumption. The non-volatile memory has many advantages, such as data storage without power supplication, zero standby power, and instant reboot. At present, the main stream non-volatile memory is Flash memory due to its low cost and power consumption. However, the Flash technology still have some issues to limit it development, such as slow write speed (us~ms), poor endurance (105) and higher write voltage ( > 5 Volt). For the request of future consumer products, many famous companies start to research several emerging non-volatile memories, such as phase change memory (PCM), magnetic memory (MRAM), Ferro-electric memory (FERAM) and resistive memory (ReRAM). This thesis focuses on two promising memories including PCM and RRAM.
At first, the introduction of memory technology was addressed. The second is the PCM characteristic. The resistance of PCM is dependent on the crystal structure of the phase change material. When phase change material of PCM device is crystalline, the device is low resistance state (LRS). When phase change material of PCM device is amorphous state, this device is high resistance state (HRS). Because the resistance change of the PCM device is by using joule heat, the device needs to be applied current or voltage to change its resistance state. Hence, two types of write circuit are implemented in peripheral circuit. One is current write circuit and another is voltage write. However, the large number of cells per bit-line has write fail due to the RC delay effect. The RC delay effect will cause the insufficient energy and fail the write operation. In this paper, a path tracking write scheme and circuit has been proposed. With this circuit, the large number cells per bit-line will compensate the energy by the path tracking write circuit to improve the reliability of PCM operation
Next, this thesis proposes the ReRAM technology. The ReRAM device has many outstanding characteristics, including fast switching speed and high temperature reliability. These performances of RRAM are better than those of PCM. The resistance of ReRAM is decided by the connection or rupture of conductive filaments which is composed of oxygen vacancies. However, the over-RESET, which weakens the filament structure, leads to write failure. This paper proposed the write-verify circuit to prevent the over-RESET and improve the reliability.
Finally, the comparison between PCM and ReRAM are discussed. The challenge and future work are also proposed in the last chapter. For the ultra-slim, high performance, and high life time product request, the emerging non-volatile memory will combination the 3DIC technology to achieve these request of future product.
關鍵字(中) ★ 讀取驅動電路
★ 寫入驅動電路
★ 電阻式隨機存取記憶體
★ 相變化記憶體
關鍵字(英) ★ read driver
★ write driver
★ resistive random access memory
★ phase change memory
論文目次 Contents
摘要 i
Abstract iii
誌謝 vi
Contents viii
Figure Captions x
Table Captions xiii
Chapter 1 Introduction 1
1.1 Motivation 1
1.2 PRAM and RRAM Concerns 3
1.3 Thesis Organization 4
Chapter 2 Introduction and Design Challenges of PCM 6
2.1 Introduction of PCM 6
2.2 Model of Double-Confined PCM 10
2.3 Design Challenge of PCM 13
2.3.1 VOLTAGE WRITE DRIVER VS. CURRENT WRITE DRIVER 13
2.3.2 INSUFFICIENT ENERGY AT LARGE BITLINE LOAD 15
2.3.3 INSUFFICIENT ENERGY AT LARGE BITLINE LOAD 17
Chapter 3 4Mb Macro Design with Path-Tracking Write Scheme for Bitline-Load Variation Tolerance 18
3.1 Architecture of 4Mb Macro with Path-Tracking Write Circuit 18
3.1.1 PATH-TRACKING WRITE SCHEME AND CIRCUIT 19
3.1.2 READ CIRCUIT 24
3.2 Experimental Results 27
3.2.1 CONCEPT 27
3.2.2 MEASUREMENT RESULTS OF THE PCM MACRO 27
Chapter 4 Introduction and Design Challenges of ReRAM (RRAM) 32
4.1 Introduction of RRAM 32
4.1.1 RRAM DEVICE CHARACTERISTICS 32
4.1.2 DEVICE RELIABILITY 36
4.1.3 MULTI-LEVEL CELL CHARACTERISTIC 38
4.2 Design Challenges of RRAM 41
4.2.1 OVER-RESET PROBLEM 41
4.2.2 SENSING MARGIN OF MULTI-LEVEL OPERATION 43
Chapter 5 RRAM Macro Design with Variation-Aware Circuit 44
5.1 Architecture of 1Kb RRAM Macro 44
5.1.1 WRITE CIRCUIT OF RRAM 45
5.1.2 READ CIRCUIT OF RRAM 48
5.1.3 MULTI-LEVEL OPERATION 50
5.1.4 WRITE-VERIFY OF MULTI-LEVEL OPERATION 53
5.1.5 WRITE RESISTANCE TRACKING CIRCUIT 56
5.2 Experimental Result 63
5.2.1 EXPERIENTIAL RESULTS OF THE 1-KB MACRO 63
5.2.2 EXPERIMENTAL RESULTS OF THE 4-MB MACRO 70
Chapter 6 Conclusions and Future Works 75
6.1 Conclusions 75
6.2 Future Works 78
References 80
Publication List 86
Patents 90
Figure Captions
Fig. 1.1.1. MCU block diagram 1
Fig. 1.1.2. Comparison characteristic of emerging memory. . 2
Fig. 2.1.1. : Cross-sectional TEM image of double-confined cells fabricated on top of a CMOS, and schematic of a double-confined cell. 6
Fig. 2.1.2 : Cross-section of double-confined cells. 7
Fig. 2.1.3 R-I curve of a double-confined cell. 8
Fig. 2.1.4 I-V behaviors of a double-confined cell. 8
Fig. 2.1.5 Current amplitude and pulse-width relationship of SET and RESET operations. 9
Fig. 2.2.1. HSPICE model of PCM device. 10
Fig. 2.2.2. Simulation waveform of HSPICE model. 12
Fig. 2.3.1 Induced write current vs. BL loads. 14
Fig. 2.3.2. Current hold time vs. BL loads in CWD. 14
Fig. 2.3.3. Programming voltage versus resistance with different RESET current hold times (pulse widths). 16
Fig. 3.1.1 The architecture of 4Mb PCM Macro 19
Fig. 3.1.2 Path-tracking circuit with different write paths 21
Fig. 3.1.3 Simulation results of PTC-detected voltages (VA1, VA2, and VA3) with different cells per BL 22
Fig. 3.1.4. Through current of PCM cell of the PTW architecture. 23
Fig. 3.1.5. Simulation result of energy with different cells per BL. 24
Fig. 3.1.6. Read circuit of 4Mb PCM macro 25
Fig. 3.1.7. Simulation results of read circuit 26
Fig. 3.2.1 Die micrograph of the PCM 1-Kb macro. 28
Fig. 3.2.2 Die micrograph of the PCM 4-Mb macro 28
Fig. 3.2.3. Resistance distribution of PCM 1-Kb macro 29
Fig. 3.2.4. Resistance distribution of 4-Mb PCM macro 30
Fig. 3.2.5 Read access time of 4-Mb PCM test chip. 30
Fig. 4.1.1. Concept of RRAM cell operation 33
Fig. 4.1.2. The RRAM cell structure 33
Fig. 4.1.3. The I-V curve of RRAM cell 34
Fig. 4.1.4 The operation method of RRAM 35
Fig. 4.1.5 Cycling test of RRAM cell 37
Fig. 4.1.6 Cycling test of RRAM with 40-ns pulse width 37
Fig. 4.1.7 Cycling test of RRAM at 200oC 38
Fig. 4.1.8 I-V curve of multi-level RRAM cell by controlling SET current. 39
Fig. 4.1.9 Resistance of a multi-level RRAM cell in a 150-cycle endurance test 39
Fig. 4.2.1. Cycle test of healthy RRAM device 42
Fig. 4.2.2. Cycle test of HRS failure in the RRAM device 42
Fig. 4.2.3. The level-to-level sensing margin of MLC operation 43
Fig. 5.1.1 Macro structure and read/write circuits. 45
Fig. 5.1.2. Write circuit of 1Kb chip. 46
Fig. 5.1.3. Simulation waveform of SET operation. 47
Fig. 5.1.4. Simulation waveform of RESET operation. 47
Fig. 5.1.5. The read circuit of RRAM macro 48
Fig. 5.1.6. Simulation waveform of read 0 (RRAM cell at LRS). 49
Fig. 5.1.7. Simulation waveform of read 1 (RRAM cell at HRS). 49
Fig. 5.1.8 Multi-level write circuit 50
Fig. 5.1.9 Multi-level read output circuit 51
Fig. 5.1.10 Multi-level read output circuit 52
Fig. 5.1.11 Write-verify procedure of MLC operation 53
Fig. 5.1.12 Schematic of MLC write-verify circuit 54
Fig. 5.1.13 Simulation waveform of MLC write-verify operation (write successful) 55
Fig. 5.1.14 Simulation waveform of MLC write-verify operation (write fail) 56
Fig. 5.1.15. The conventional write-verify and proposed write resistance tracking method 58
Fig. 5.1.16 The write path of 1-kb chip architecture with WRTC block diagram 59
Fig. 5.1.17 The schematic of WRTC 60
Fig. 5.1.18 The timing diagram of internal control signals 61
Fig. 5.1.19 The simulation waveform of WRTC 62
Fig. 5.2.1 Photograph of 1-Kb chip RRAM 64
Fig. 5.2.2 Resistance distribution of SLC-mode at 100 cycles. 64
Fig. 5.2.3 Resistance distribution of the MLC-mode at 100 cycles 65
Fig. 5.2.4 Measured waveform of 1Kb RRAM chip at SLC-mode 66
Fig. 5.2.5 Measured waveform of 1Kb RRAM chip at MLC-mode 67
Fig. 5.2.6 the weibull plot of HRS in 1-kb chip of HfOx RRAM before/after verification. 68
Fig. 5.2.7 Photograph of 4-Mb macro. 70
Fig. 5.2.8 The read/write measurement waveform of 4Mb Macro. 71
Fig. 5.2.9 Resistance distribution of four levels: (a) without write-verify scheme and (b) with write-verify scheme. 72
Table Captions
Table 1.1 NVM comparison 3
Table 2.1 SET and RESET condition formulae of the PCM cell 11
Table 2.2 SET and RESET conditions of PCM model 12
Table 3.1 Summary of PCM 4Mb macro performance 31
Table 4.1 Operation conditions of proposed RRAM device 36
Table 4.2 Operation conditions of MLC RRAM 40
Table 5.1 Summary of 1Kb RRAM macro performance 69
Table 5.2 Summary of 4Mb RRAM macro performance 72
Table 5.3 Comparison performance of RRAM macros 73
Table 5.4 Comparison performance of emerging memory macros 74
參考文獻 [1] M. F. Chang, S. M. Yang, C. W. Liang, C. C. Chiang, P. F. Chiu, K. F Lin, Y. H. Chu, W. C. Wu, H. Yamauchi, “A 0.29V embedded NAND-ROM in 90nm CMOS for ultra-low-voltage applications," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 266-267, Feb. 2010
[2] M. F. Chang and S. J. Shen,“A process variation tolerant embedded split-gate Flash memory using pre-stable current sensing scheme,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp.987-994, Mar. 2009.
[3] H. R. Oh, B. H. Cho, W. Y. Cho, S. Kang, B. G. Choi, H. J. Kim, K. S. Kim, D. E. Kim, C. K. Kwak, H. G. Byun, G. T. Jeong, H. S. Jeong, and K. Kim, “Enhanced Write Performance of a 64-Mb Phase-Change Random Access Memory,” IEEE J. Solid-State Circuits, vol. 41, no. 1, pp.122-126, Jan. 2006.
[4] K. J. Lee, B. H. Cho, W. Y. Cho, S. Kang, B. G. Choi, H. R. Oh, C. S. Lee, H. J. Kim, J. M. Park, Q. Wang, M. H. Park, Y. H. Ro, J. Y. Choi, K. S. Kim, Y. R. Kim, I. C. Shin, K. W. Lim, H. K. Cho, C. H. Choi, W. R. Chung, D. E. Kim, Y. J. Yoon, K. S. Yu, G. T. Jeong, H. S. Jeong, C. K. Kwak, C. H. Kim, and K. Kim, “A 90 nm 1.8 V 512 Mb Diode-Switch PRAM with 266 MB/s Read Throughput ,” IEEE J. Solid-State Circuits, vol. 43, no. 1, pp.150-162, Jan. 2008.
[5] T. Kawahara, R. Takemura, K. Miura, J. Hayakawa, S. Ikeda, Y. M. Lee, R. Sasaki, Y. Goto, K. Ito, T. Meguro, F. Matsukura, H. Takahashi, H. Matsuoka, and H. Ohno,“2 Mb SPRAM (SPin-Transfer Torque RAM) with Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read ” IEEE J. Solid-State Circuits, vol. 43, no. 1, pp.109-120, Jan. 2008.
[6] R. Takemura, T. Kawahara, K. Miura, H. Yamamoto, J. Hayakawa, N. Matsuzaki, K. Ono, M. Yamanouchi, K. Ito, H. Takahashi, S. Ikeda, H. Hasegawa, H. Matsuoka, and H. Ohno,“A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and ‘1’/‘0’ Dual-Array Equalized Reference Scheme,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp.869-879, Apr. 2010.
[7] S. Dietrich, M. Angerbauer, M. Ivanov, D. Gogl, H. Hoenigschmid, M. Kund, C. Liaw, M. Markert, R. Symanczyk, L. Altimime, S. Bournat, and G. Mueller,“A Nonvolatile 2-Mbit CBRAM Memory Core Featuring Advanced Read and Program Control,” IEEE J. Solid-State Circuits, vol. 42, no. 4, pp.839-845, Apr. 2007.
[8] D. Lee, D. Seong, H. jung, C. I. Jo, R. Dong ,W. Xiang, S. Oh, M. Pyun, S. Seo, S. Heo, M. Jo, D. K. Hwang, H. K Park, M. Chang, M. Hasan, and H. Hwang, “Excellent uniformity and reproducible resistance switching characteristics of doped binary metal oxides for non-volatile resistance memory applications,” International Electron Device Meeting (IEDM), p797, Dec. 2006.
[9] H. Y. Lee, P. S. Chen, T. Y. Wu, Y. S. Chen, C. C. Wang, P. J. Tzeng, C. H. Lin, F. T. Chen, C. H. Lien, and M. J. Tsai, “Low Power and High Speed Bipolar Switching with A Thin Reactive Ti Buffer Layer in Robust HfO2 Base RRAM”, International. Electron Device Meeting (IEDM), pp. 297-300, Dec. 2008.
[10] S. S. Sheu, P. C. Chiang, W. P. Lin, H. Y. Lee, P. S. Chen, Y. S. Chen, T. Y. Wu , F. T. Chen, K. L. Su, M. J.Kao, K. H. Cheng, M. J. Tsai,“A 5ns Fast Write Multi-Level Non-Volatile 1 K bits RRAM Memory with Advance Write Scheme,” IEEE Symp. VLSI Circuits Dig. Tech. Papers, pp. 82-83, Jun. 2009.
[11] S. S. Sheu, M. F. Chang, K. F. Lin, C. W. Wu, Y. S. Chen, P. F. Chiu, C. C. Kuo, Y. S. Yang, P. C. Chiang, W. P. Lin, C. H. Lin, H. Y. Lee, P. Y. Gu, S. M. Wang, F. T. Chen, K. L. Su, C. H. Lien, K. H. Cheng, H. T. Wu, T. K. Ku, M. J. Kao, and M. J. Tsai, “A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability,” IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 200-201, Feb. 2011.
[12] Y. S. Chen, H. Y. Lee, P. S. Chen, P. Y. Gu, C. W. Chen, W. P. Lin, W. H. Liu, Y. Y. Hsu, S. S. Sheu, P. C. Chiang, W. S. Chen, F. T. Chen, C. H. Lien and M. J. Tsai, “Highly Scalable Hafnium Oxide Memory with Improvements of Resistive Distribution and Read Disturb Immunity,” International Electron Device Meeting (IEDM), pp.105–108, Dec. 2009.
[13] H. Y. Lee, Y. S. Chen, P. S. Chen, P. Y. Gu, Y. Y. Hsu, S. M. Wang, W. H. Liu, C. H. Tsai, S. S. Sheu, P. C. Chiang, W. P. Lin, C. H. Lin, W. S. Chen, F. T. Chen, C. H. Lien, and M. J. Tsai, “Evidence and solution of Over-RESET Problem for HfOX Based Resistive Memory with Sub-ns Switching Speed and High Endurance,” International Electron Device Meeting (IEDM), pp. 460–463, Dec. 2010.
[14] J. Zhang, Y. ding, X. Xue, G. Jin, Y. Wu, Y. Xie, Y. Lin , “A 3D RRAM Using a Stackable Multi-Layer 1TXR Cell,” IEICE Trans. on Electronics, vol. E93-C, pp. 1692–1699, Dec. 2010.
[15] S. Lai, T. Lowrey, “OUM – A 180 nm Nonvolatile Memory Cell Element Technology for Stand Alone and Embedded Applications,” International Electron Device Meeting (IEDM), pp. 803-806, Dec. 2001.
[16] S. Tyson, “Nonvolatile, High Density, High Performance Phase-Change Memory,” IEEE Aerospace Conference, vol. 5, pp. 385-390, Mar. 2000.
[17] D. H. Kang, J. H. Lee, J. H. Kong, D. Ha, J. Yu, C. Y. Um, J. H. Park, F. Yeung, J. H. Kim, W. I. Park, Y. J. Jeon, M. K. Lee, J. H. Park, Y. J. Song, J. H. Oh, G. T. Jeong, and H. S. Jeong, “Two-bit Cell Operation in Diode-Switch Phase Change Memory Cells with 90nm Technology,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 98-99, Jun. 2008.
[18] K. Gopalakrishnan, R. S. Shenoy, C. T. Rettner, K. Virwani, D. S. Bethune, R. M. Shelby, G. W. Burr, A. Kellock, R. S. King, K. Nguyen, A. N. Bowers, M. Jurich, B. Jackson, A. M. Friz, T. Topuria, P. M. Rice, and B. N. Kurdi, “Highly Scalable Novel Access Device based on Mixed Ionic Electronic Conduction (MIEC) Materials for High Density Phase Change Memory (PCM) Arrays,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 205-206, Jun., 2010.
[19] J. I. Lee, H. Park, S. L. Cho, Y. L. Park, B. J. Bae, J. H. Park, J. S. Park, H. G. An, J. S. Bae, D. H. Ahn, Y. T. Kim, H. Horii, S. A. Song, J. C. Shin, S. O. Park, H. S. Kim, U. I. Chung, J. T. Moon, and B. I. Ryu, “Highly Scalable Phase Change Memory with CVD GeSbTe for Sub 50nm Generation,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 102-103, Jun. 2007.
[20] G. Servalli, “A 45nm Generation Phase Change Memory Technology,” International. Electron Device Meeting (IEDM), pp. 113-116, Dec. 2009.
[21] S. L. Cho, J. H. Yi, Y. H. Ha, B. J. Kuh, C. M. Lee, J. H. Park, S. D. Nam, H. Horii, B. O. Cho, K. C. Ryoo, S. O. Park, H. S. Kim, U. I. Chung, J. T. Moon, and B. I. Ryu, “Highly Scalable On-axis Confined Cell Structure for High Density beyond 256Mb,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 96-97, Jun., 2005.
[22] B. J. Bae, S. B. Kim, Y. Zhang, Y. Kim, I. G. Baek, S. Park, I. S. Yeo, S. Choi, J. T. Moon, H. S. P. Wong, and K. Kim, “1D Thickness Scaling Study of Phase Change Material (Ge2Sb2Te5) using a Pseudo 3-Terminal Device,” International Electron Device Meeting (IEDM), pp. 93-96, Dec. 2009.
[23] R. Annunziata, P. Zuliani, M. Borghi, G. D. Sandre, L. Scotti, C. Prelini, M. Tosi, I. Tortorelli and F. Pellizzer, “Phase Change Memory Technology for Embedded Non Volatile Memory Applications for 90nm and Beyond,” International Electron Device Meeting (IEDM), pp. 97-100, Dec. 2009.
[24] T. Nirschl, J. B. Philipp, T. D. Happ, G. W. Burrt, B. Rajendrant, M. H. Lee, A. Schrottt, M. Yang, T. M. Breitwischt, C. F. Chen, E. Joseph, T. M. Lamorey, R. Chee, S. H. Chen, S. Zaidi, S. Raoux Y. C. Chen, Y. Zhu, R. Bergmann, H. L. Lunge, C. Lamf, “Write Strategies for 2 and 4-bit Multi-Level Phase-Change Memory,” International Electron Device Meeting (IEDM), pp. 461-464, Dec. 2007.
[25] G. H. Oh, Y. L. Park, J. I. Lee, D. H. Im, J. S. Bae, D. H. Kim, D. H. Ahn, H. Horii, S. O. Park, H. S. Yoon, I. S. Park, Y. S. Ko, U. I. Chung, and J. T. Moon, “Parallel Multi-Confined (PMC) Cell Technology for High Density MLC PRAM,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 220-221, Jun. 2009.
[26] D. S. Chao, C. Lien, Y. K. Chen, Y. B. Liao, M. H. Chiang, M. J. KAO, and M. Jinn. TSAI“A Comprehensive Parameterized Model of Phase-Change Memory Cell for HSPICE Circuit Simulation,” Japanese Journal of Applied Physics, vol. 47, no. 4, pp.2696-2700, Jan. 2008.
[27] S. S. Kim, S. M. Jeong, K. H. Lee, Y. K. Park, Y. T. Kim, J. T. Kong and H. L. Lee,“Simulation for Reset Operation of Ge2Sb2Te5 Phase-Change Random Access Memory,” Japanese Journal of Applied Physics, vol. 44, no. 8, pp.5943-5948, Aug. 2005.
[28] W. Y. Cho, B. H. Cho, B. G. Choi, H. R. Oh, S, Kang, K. S. Kim, K. H. Kim, D. E. Kim, C. K. Kwak, H. G. Byun, Y. Hwang, S. J. Ahn, G. H. Koh, G. Jeong, H. Jeong, and K. Kim, “A 0.18-μm3.0-V 64-Mb Nonvolatile Phase-Transition Random Access Memory (PRAM),” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp.293-300, Jan. 2005.
[29] F. Bedeschi, R. Bez, C. Boffino, E. Bonizzoni, E. C. Buda, G. Casagrande, L. Costa, M. Ferraro, R. Gastaldi, O. Khouri, F. Ottogalli, F. Pellizzer, A. Pirovano, C. Resta, G. Torelli, and M. Tosi, “4-Mb MOSFET-SelectedμTrench Phase-Change Memory Experimental Chip,” IEEE J. Solid-State Circuits, vol. 40, no. 7, pp.1557-1564, Jul. 2005.
[30] S. Kang, W. Y. Cho, B. H. Cho, K. J. Lee, C. S. Lee, H. R. Oh, B. G. Choi, Q. Wang, H. J. Kim, M. H. Park, Y. H. Ro, S. Kim, C. D. Ha, K. S. Kim, Y. R. Kim, D. E. Kim, C. K. Kwak, H. G. Byun, G. Jeong, H. Jeong, K. Kim, and Y. S. Shin, “A 0.1μm 1.8-V 256-Mb Phase-Change Random Access Memory (PRAM) With 66-MHz Synchronous Burst-Read Operation,” IEEE J. Solid-State Circuits, vol. 42, no. 1, pp.210-217, Jan. 2007.
[31] S. Hanzawa, N. Kitai, K. Osada, A. Kotabe, Y. Matsui, N. Matsuzaki, N. Takaura, M. Moniwa, T. Kawaharal, “A 512Kb Embedded Phase Change Memory with 416KB/s Write Throughput at 100μA Cell Write current," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 474-475, Feb. 2007
[32] F. Bedeschi, R. Fackenthal, C. Resta, E. M. Donzè, M. Jagasivamani, E. C.Buda, F. Pellizzer, D. W. Chow, A. Cabrini, G. M. A. Calvi, R. Faravelli, A. Fantini, G. Torelli, D, Mills, R, Gastaldi, and G, Casagrande, “A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage,” IEEE J. Solid-State Circuits, vol. 43, no. pp.217-227, Jan. 2009.
[33] G. D. Sandre, L. Bettini, A. Pirola, L. Marmonier, M. Pasotti, M. Borghi, P. Mattavelli, P. Zuliani, L. Scotti, G. Mastracchio, F. Bedeschi, R. Gastaldi, R. Bez, “A 90nm 4Mb Embedded Phase-Change Memory with 1.2V 12ns Read Access Time and 1Mb/s Write Throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 268-269, Feb. 2010
[34] C. Villa, D. Mills, G. Barkley, H. Giduturi, S. Schippers, D. Vimercati, “A 45nm 1Gb 1.8V Phase-Change Memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 270-271, Feb. 2010
[35] Y. N. Hwang, C. Y. Um, J. H. Lee, C. G. Wei, H. R. Oh , G. T. Jeong, H. S. Jeong , C. H. Kim, C. H. Chung, “MLC PRAM with SLC write-speed and robust read scheme,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 201-202, Jun. 2010.
[36] K. Sohn, H. Kim, J. Yoo, J. H. Woo, S. J. Lee, W. Y. Cho, B. T. Lim, B. G. Choi, C. S. Kim, C. K. Kwak, C. H. Kim, and H. J. Yoo, “Processor-Based Built-in Self-Optimizer for 90nm Diode-Switch PRAM,” IEEE Symp. VLSI Circuit Dig. Tech. Papers, pp. 184-185, Jun. 2007
[37] I. S. Kim, S. L. Cho, D. H. Im, E. H. Cho, D. H. Kim, G. H. Oh, D. H. Ahn, S. O. Park, S. W. Nam, J. T. Moon, and C. H. Chung, “High Performance PRAM Cell Scalable to sub 20-nm technology with below 4F2 Cell Size, Extendable to DRAM Application,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 203-204, Jun. 2010.
[38] Y. H. Ha, J. H. Yi, H. Horii, J. H. Park, S. H. Joo, S. O. Park, U. I. Chung and J. T. Moon “An Edge Contact Type Cell for Phase Change RAM Featuring Very Low Power Consumption, ” IEEE Symp. VLSI Technology Dig. Tech. Papers, Jun. 2003.
[39] H. Horii, “A Novel Cell Technology Using N-doped GeSbTe Films for Phase Change RAM, ” IEEE Symp. VLSI Technology Dig. Tech. Papers, Jun. 2003.
[40] D. H. Kang, J. S. Kim, Y. R. Kim, Y. T. Kim, M. K. Lee, Y. J. Jun, J. H. Park, F. Yeung, C. W. Jeong, J. Yu, J. H. Kong, D. W. Ha, S. A. Song, J. Park, Y. H. Park, Y. J. Song, C. Y. Eum, K. C. Ryoo, J. M. Shin, D. W. Lim, S. S. Park, J. H. Kim, W. I. Park, K. R. Sim, J. H. Cheong, J. H. Oh, J. H. Park, J. I. Kim, Y. T. Oh, K. W. Lee, S. P. Koh, S. H. Eun, N. B. Kim, G. H. Koh, G. T. Jeong, H. S. Jeong, and K. Kim, “Novel Heat Dissipating Cell Scheme for Improving a Reset Distribution in a 512M Phase-change Memory,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 96-97, Jun. 2008.
[41] S. H. Lee, M. S. Kim, G. S. Do, S. G. Kim, H. J. Lee, J. S. Sim, N. G. Park, S. B. Hong, Y. H. Jeon, K. S. Choi, H. C. Park, T. H. Kim, J. U. Lee, H. W. Kim, M. R. Choi, S. Y. Lee, Y. S. Kim, H. J. Kang, J. H. Kim, H. J. Kim, Y. S. Son, B. H. Lee, J. H. Choi, S. C. Kim, J. H. Lee, S. J. Hong, and S. W. Park, “Programming Disturbance and Cell Scaling in Phase Change Memory : For up to 16nm based 4F2 Cell,” IEEE Symp. VLSI Technology Dig. Tech. Papers, pp. 199-200, Jun. 2010.
[42] D. Fugazza, D. Ielmini, S. Lavizzari, and A. L. Lacaita, “Distributed-Poole-Frenkel modeling of anomalous resistance scaling and fluctuations in phase-change memory (PCM) devices,” International Electron Device Meeting (IEDM), pp. 723-726, Dec. 2009.
[43] T. Morikawa, K. Kurotsuchi, M. Kinoshita, N. Matsuzaki, Y. Matsui, Y. Fujisaki, S. Hanzawa, A. Kotabe, M. Terao, H. Moriya, T. Iwasaki, M. Matsuoka, F. NittaW, M. Moniwa, T. Koga, and N. Takaura, “Doped In-Ge-Te Phase Change Memory Featuring Stable Operation and Good Data Retention,” International Electron Device Meeting (IEDM), pp. 307-310, Dec. 2007.
[44] D. T. Castro, L. Goux, G. A. M. Hurkx, K. Attenborough, R. Delhougne, J. Lisoni, F. J. Jedema, M. A. A. Zandt, R. A. M. Wolters, D. J. Gravesteijn, M. A. Verheijen, M. Kaiser, R. G. R. Weemaes, D. J. Wouters, “Evidence of the Thermo-Electric Thomson Effect and Influence on the Program Conditions and Cell Optimization in Phase-Change Memory Cells,” International Electron Device Meeting (IEDM), pp. 315-318, Dec. 2007.
[45] A. L. Lacaitag and D. Jelmini, “Reliability issues and scaling projections for phase change non volatile memories,” International Electron Device Meeting (IEDM), pp. 157-160, Dec. 2007.
[46] D. Mantegazza, D. Jelmini, E. Varesi, A. Pirovano and A. L. Lacaita, “Statistical analysis and modeling of programming and retention in PCM arrays,” International Electron Device Meeting (IEDM), pp. 311-314, Dec. 2007.
[47] Y. H. Shih, M. H. Lee, M. Breitwisch, R. Cheek, J. Y. Wu, B. Rajendran, Y. Zhu, E. K. Lai, C. F. Chen, H. Y. Cheng, A. Schrott, E. Joseph, R. Dasaka, S. Raoux, H. L. Lung, and C. Lam, “Understanding Amorphous States of Phase-Change Memory Using Frenkel-Poole Model,” International Electron Device Meeting (IEDM), pp. 753-756, Dec. 2009.
[48] B. Gleixner, “Data Retention Characterization of Phase-Change Memory Arrays,” IEEE International Reliability Physics Symposium, pp. 542-546, Apr. 2007.
[49] K. D. Suh, B. H. Suh, Y. H. Lim, J. K. Kim, Y. J. Choi, Y. N. Koh, S. S. Lee, S. C. Kwon, B. S. Choi, J. S. Yum, J. H. Choi, J. R. Kim, H. K. Lim, “A 3.3V 32Mb NAND Flash Memory with Incremental Step Pulse Programming Scheme,” IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 128–129, Feb. 1995.
[50] W. Otsuka, K. Miyata, M. Kitagawa, K. Tsutsui, T. Tsushima, H. Yoshihara, T. Namise, Y. Terao, K. Ogata, “A 4Mb Conductive-Bridge Resistive Memory with 2.3GB/s Read-Throughput and 216MB/s Program-Throughput,” IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 210–211, Feb. 2011.
[51] C. J. Chevallier, C. H. Siau, S. F. Lim, S. R. Namala, M. Matsuoka, B. L Bateman, D. Rinerson, “A 0.13µm 64Mb Multi-Layered Conductive Metal-Oxide Memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 9-10, Feb. 2010
[52] W. Otsuka, K. Miyata, M. Kitagawa, K. Tsutsui, T. Tsushima, H. Yoshihara, T. Namise, Y. Terao, K. Ogata, “A 4Mb Conductive-Bridge Resistive Memory with 2.3GB/s Read-Throughput and 216MB/s Program-Throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 210-211, Feb. 2011
[53] M. F. Chang, C. W. Wu, C. C. Kuo, S. J. Shen, K. F. Lin, S. M. Yan, Y. C. King, C. J. Lin, Y. D. Chih, “A 0.5V 4Mb Logic-Process Compatible Embedded Resistive RAM (ReRAM) in 65nm CMOS Using Low-Voltage Current-Mode Sensing Scheme with 45ns Random Read Time," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 434-435, Feb. 2012
[54] A. Kawahara, R. Azuma, Y. Ikeda, K. Kawai, Y. Katoh, K. Tanabe, T. Nakamura, Y. Sumimoto, N. Yamada, N. Nakai, S. Sakamoto, Y. Hayakawa, K. Tsuji, S. Yoneda, A. Himeno, K. I. Origasa, K. Shimakawa, T. Takagi, T. Mikawa, K. Aono, “An 8Mb Multi-Layered Cross-Point ReRAM Macro with 443MB/s Write Throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 432-433, Feb. 2012
[55] S. S. Sheu, M. F. Chang, K. F. Lin, C. W. Wu, Y. S. Chen, P. F. Chiu, C. C. Kuo, Y. S. Yang, P. C. Chiang, W. P. Lin, C. H. Lin, H. Y. Lee, P. Y. Gu, S. M. Wang, F. T. Chen, K. L. Su, C. H. Lien, K. H. Cheng, H. T. Wu, T. K. Ku, M. J. Kao, and M. J. Tsai, “A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 200-202, Feb. 2011
[56] D. Halupka, S. Huda, W. Song, A. Sheikholeslami, K. Tsunoda, C. Yoshida, M. Aoki, “Negative-Resistance Read and Write Schemes for STT-MRAM in 0.13µm CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 256-257, Feb. 2010
[57] Y. Choi, I. Song, M. H. Park, H. Chung, S. Chang, B. Cho, J. Kim, Y. Oh, D. Kwon, J. Sunwoo, J. Shin, Y. Rho, C. Lee, M. G. Kang, J. Lee, Y. Kwon, S. Kim, J. Kim, Y. J. Lee, Q. Wang, S. Cha, S. Ahn, H. Horii, J. Lee, K. Kim, H. Joo, K. Lee, Y. T. Lee, J. Yoo, G. Jeong, “A 20nm 1.8V 8Gb PRAM with 40MB/s Program Bandwidth," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 46-47, Feb. 2012
[58] P. Y. Gu, Y. S. Chen, H.Y. Lee, P. S. Chen, W. H. Liu, W. S. Chen, Y. Y. Hsu, F. T. Chen, and M. J. Tsai , “Scalability with silicon nitride encapsulation layer for Ti/HfOx pillar RRAM," IEEE International Symposium on VLSI Technology, System and Applications (VLSI-TSA) Dig. Tech. Papers, pp. 146-147, Apr. 2010
指導教授 張孟凡、鄭國興
(Meng-Fan Chang、Kuo-Hsing Cheng)
審核日期 2012-7-24
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明