博碩士論文 995201022 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:33 、訪客IP:18.224.43.250
姓名 姜柏阡(Bo-Qian Jiang)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 基於無限相位補償技術延遲鎖相迴路之6 Gbps時脈與資料回復電路
(A 6 Gbps Delay-Locked-Loop-Based Clock and Data Recovery Circuit with an Infinite Phase Compensation Technique)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨著近年半導體迅速發展以及電腦網路的興起,不論在短距離如晶片間或是長距離如光纖通訊,資料傳遞頻寬皆日漸提升,傳統之並列傳輸方式已被串列傳輸所取代,例如電腦匯流排所使用之PCI-Express、SATA、USB,或是光纖網路之SONET等規格皆已使用串列傳輸作為介面,並且速度皆已提升至Gbps等級。本論文所實現之時脈與資料回復電路將以SATA 6 Gbps做為參考規格,採用雙迴路之架構,以延遲鎖相迴路(Delay-Locked Loop, DLL)作為主體,並使用兩組電壓控制延遲電路互相鎖定之方式達成無限相位補償,以彌補延遲範圍受限之問題。
此論文使用TSMC 90nm製程實現了一6 Gbps雙迴路之時脈與資料回復電路(Clock and Data Recovery, CDR),其中,雙迴路由鎖相迴路(Phase-Locked Loop, PLL)以及資料回復迴路(Data Recovery Loop, DR Loop)所組成,不同於鎖相迴路式時脈與資料回復電路(PLL-Based CDR),雙迴路架構可以將資料回復電路的頻寬(Jitter Transfer Function, JTF)和鎖相迴路的抖動壓抑分開設計。資料回復電路使用延遲鎖相迴路對齊輸入資料和時脈訊號,但資料若有頻率誤差時,延遲鎖相迴路將因延遲範圍受限制而產生誤動作,因此,此論文提出一無限相位補償之延遲鎖相迴路(Infinitely Phase-Compensated DLL, IPDLL),利用兩互補的壓控延遲電路適時交換,使得相位追鎖可以延續,解決傳統壓控延遲電路面臨操作範受限之問題。此外,以延遲鎖相迴路作為資料回復電路,具有快速鎖定、沒有抖動峰值以及沒有抖動累積等特點。根據設計及模擬結果,抖動轉移函數頻寬落於4.2±2 MHz,在3 nH模擬打線電感下,均方根值抖動為1.73 ps,峰對峰值抖動為7.77 ps,資料回復迴路及鎖相迴路所占面積分別為0.11及0.045mm2,在1.2V之供應電壓下之功率消耗為79.8 mW。
摘要(英) In recent year, according to the rapid evolution of process and computer network development, the various bandwidth requirement such as short distance like chip-to-chip communication and long distance like fiber-optic communication is increased. The use of serial data transmission substitute for the parallel one. The serial data transmission are widely used for bus in computer such as PCI-Express, SATA, USB, and used for fiber-optic network like SONET. Most of these systems adopted the serial link architecture and operate at gigahertz. This study presents a clock and data recovery (CDR), and takes SATA 6 Gbps specification as reference material. The CDR employs the Delay-Locked Loop (DLL) as data recovery (DR) loop which consists of two sets of complementary voltage-controlled delay line (VCDL). It can solve the problem of delay range limitation.
This study presents the CDR circuit fabricated in a 90-nm CMOS process. The dual-loop-based CDR consists of a phase-locked loop (PLL) and a DR loop. Unlike the commonly used PLL-based CDR, the bandwidth for the clock jitter suppression and the specific jitter transfer function (JTF) could be optimized through the PLL and DR loop, respectively. With regard to the DR loop, the DLL could be used for the phase alignment between the input data and the clock signal. However, once the input data accompanies the frequency offset, the DLL may suffer from the limitation of the finite phase tracking range, resulting in the erroneous function. Thus, this study proposes a infinitely phase-compensated DLL (IPDLL), which correlates and swaps the two complementary VCDL if necessary. The IPDLL-based CDR generates the continuous clock phase shifts for data tracking to resolve the operating range limitation of the convenient DLL control scheme. In addition, it exhibits the fast-locking, jitter-peaking-, and jitter-accumulation-free characteristic. As a result, in terms of the CDR setting, conforming that the gain of error signal E(s) of -3 dB lies at the jitter frequency of 4.2±2.1 MHz, the 6-Gb/s input data is simulated with the 3-nH wire bonding, and the RMS and peak-to-peak jitter of the recovered clock are 1.73 ps and 7.77ps, respectively. The chip core area of DR and PLL occupy 0.11 and 0.045 mm2, respectively. The total power consumption is around 79.8 mW at supply of 1.2V.
關鍵字(中) ★ 時脈與資料回復電路 關鍵字(英) ★ CDR
論文目次 摘要 i
Abstract iii
誌謝 v
目錄 vi
圖目錄 ix
表目錄 xii
第1章 緒論 1
1.1 研究動機 1
1.2 論文架構 4
第2章 資料回復電路之抖動考量 5
2.1 時脈抖動簡介 5
2.2 定量性抖動 6
2.2.1 資料相關抖動(DDJ) 6
2.2.2 責任週期失真(DCD) 7
2.2.3 週期性抖動(PJ) 8
2.3 隨機抖動(RJ) 10
2.4 眼圖分析 11
2.5 誤碼率 12
2.6 時脈與資料回復電路的抖動函數 14
2.6.1 抖動轉移函數(Jitter Transfer Function, JTF) 14
2.6.2 抖動容忍函數 15
第3章 時脈與資料回復電路背景簡介 17
3.1 時脈與資料回復電路簡介 17
3.1.1 串列傳輸與並列傳輸 18
3.1.2 資料形式 19
3.2 取樣速率 21
3.3 傳統時脈與資料回復電路 22
3.3.1 鎖相迴路式時脈與資料回復電路 22
3.3.2 混合鎖相迴路/延遲鎖相迴路式時脈與資料回復電路 24
3.3.3 超取樣式時脈與資料回復電路 25
3.3.4 相位選擇式時脈與資料回復電路 26
第4章 無限相位補償延遲鎖相迴路之時脈與資料回復電路 27
4.1 電路架構 27
4.2 操作說明 30
4.2.1 壓控延遲電路延遲總和為定值5.5T之概念說明 30
4.2.2 無限相位補償之概念說明 34
4.3 行為模擬-功能驗證 37
4.4 規格與系統分析 38
4.5 延遲鎖相迴路 43
4.5.1 二進位相位偵測器 43
4.5.2 電荷泵浦及共模回授電路 44
4.5.3 電壓控制延遲電路 48
4.5.4 相位邊界偵測器 51
4.6 行為模型模擬-頻寬驗證 53
4.7 迴路模擬結果 55
4.7.1 理想輸入訊號模擬結果 55
4.7.2 無限相位補償模擬結果 57
4.7.3 頻寬測試模擬結果 59
4.8 規格比較表 65
第5章 晶片佈局與量測考量 67
5.1 資料回復電路佈局 67
5.1.1 晶片封裝 68
5.1.2 佈局規劃與電源規劃 69
5.2 量測考量 70
5.2.1 量測環境 70
5.2.2 印刷電路板 71
5.2.3 輸入資料緩衝器 72
5.2.4 輸出時脈緩衝器 73
第6章 結論與未來研究方向 75
6.1 結論 75
6.2 未來改進方向 76
參考文獻 77
參考文獻 [1] PCI Express® Base Specification, Revision 2.1, PCI-SIG, 2010.
[2] Serial ATA International Organization: Serial ATA Revision 3.0, SATA-IO, 2009.
[3] Jitter Fundamental & Measurement Technology, Agilent Technologies.
[4] M. Aoyama, K. Ogasawara, M. Sugawara, T. Ishibashi, T. Ishibashi, S. Shimoyama, K. Yamaguchi, T. Yanagita, and T. Noma, “3 Gbps, 5000 ppm spread spectrum SerDes PHY with frequency tracking phase interpolator for Serial ATA,” in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2003, pp. 107-110.
[5] X. Maillard, F. Devisch, and M. Kuijk, "A 900-Mb/s CMOS Data Recovery DLL Using Half-frequency Clock", IEEE J. Solid-State Circuits, vol. 37, no. 6, pp. 711-715, Jun. 2002.
[6] D. Dalton, K. Chai, E. Evans, M. Ferriss, D. Hitchcox, P. Murray, S. Selvanayagam, P. Shepherd, and L. DeVito, “12.5-Mb/s to 2.7-Gb/s Continuous-Rate CDR with Automatic Frequency Acquisition and Data-Rate Readback,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2713–2725, Dec. 2005.
[7] M.-t. Hsieh and G. Sobelman,“Architectures for multi-gigabit wirelinked clock and data recovery,” IEEE Circuits Syst. Mag., vol. 8, pp. 45–57, 2008.
[8] 數位示波器的應用抖動jitter測量,Tektronix。
[9] L. Luo, J. Wilson, S. Mick, J. Xu, L. Zhang, E. Erickson, and P. Franzon, “A 36 Gb/s ACCI mutli-channel bus using a fully differential pulse receiver,” in Proc. IEEE Custom Integrated Circuits Conf., San Jose, CA, Sep. 2006, pp. 773–776.
[10] Choosing AC-Coupling Capacitors, Application Note:HFAN-1.1., Maxim, 2000.
[11] Finding sources of jitter with real-time jitter analysis, Agilent Technologie, 2008.
[12] Improving a Jitter Definition, STMicroelectronics, 2007.
[13] AG-Application Note AN-JITTER-1-Jitter Analysis using SHF 10000 Series Bit Error Rate TestersW, SHF Communication Technologies, 2005.
[14] Deterministic Jitter (DJ) Definition and Measurement, Altera Corporation, 2009.
[15] Optical receiver performance evaluation, Maxim.
[16] Jitter Fundamentals Jitter Tolerance Testing with Agilent 81250 ParBERT, Agilent Technologies, 2003.
[17] Comparing Bus Solutions, Texas Instruments, 2009.
[18] B. Razavi, Design of Integrated Circuit for Optical Communications. New York: McGraw-Hill, 2003.
[19] NRZ Bandwidth - HF Cutoff vs. SNR Application Note: HFAN-09.0.1., Maxim.
[20] J. Savoj and B. Razavi, “A 10-Gb/s CMOS clock and data recovery circuit with a half-rate linear phase detector,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 761-767, May. 2001.
[21] S.-J. Song, S. M. Park, and H.-j. Yoo, “A 4-Gb/s Clock and Data Recovery Circuit Using Four-Phase 1/8-Rate Clock,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1213-1219, July 2003.
[22] J. Kim and D.-K. Jeong, “Multi-gigabit-rate clock and data recovery based on blind oversampling,” IEEE Commun. Mag., vol. 41, pp. 68-74, Dec. 2003.
[23] P. Larsson, “A 2-1600 MHz CMOS clock recovery PLL with low-Vdd capability,” IEEE J. Solid-State Circuits, vol. 34, no. 12, pp. 1951-1960, Dec. 1999.
[24] J. Lee, K. S. Kenneth, and B. Razavi, “Analysis and modeling of bang-bang clock-and-data recovery circuits,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1571–1580, Sep. 2004.
[25] J. D. H. Alexander, “Clock recovery from random binary data,” Electron. Lett., vol. 11, pp. 541–542, Oct. 1975.
[26] H. Djahanshahi and C. A. T. Salama, “Differential CMOS Circuits for 622-MHz/933-MHz Clock and Data Recovery Applications,” IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 847–855, Jun. 2000.
[27] D. Rennie and M. Sachdev, “A 5-Gb/s CDR circuit with automatically calibrated linear phase detector,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 4, pp. 796–803, Apr. 2008.
[28] S.-K. Lee, Y.-S. Kim, H. Ha, Y. Seo, H.-J Park, and J-Y. Sim, “A 650 Mb/s-to-8 Gb/s referenceless CDR circuit with automatic acquisition of data rate,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2009, pp. 184–185.
[29] Y.-S. Seo, J.-W. Lee, H.-J. Kim, C. Yoo, and J.-J. Lee, “A 5-Gb/s clock-and data-recovery circuit with 1/8-rate linear phase detector in 0.18-um CMOS technology,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 1, pp. 6–10, Jan. 2009.
[30] O. Tyshchenko, A. Sheikholeslami, H. Tamura, M. Kibune, H. Ya-maguchi, and J. Ogawa, “A 5 Gb/s ADC-based feed-forward CDR in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 45, no. 6, pp.1091–1098, Jun. 2010.
[31] W.-Y. Lee and L.-S. Kim, “A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation,” IEEE Trans. Circuits Syst. II, Reg. Papers, vol. 59, no. 11, pp 2518-2528, Nov. 2012.
[32] RF CMOS Design Flow,國家晶片系統設計中心,民國九十二年。
指導教授 鄭國興(Kuo-Hsing Cheng) 審核日期 2012-11-30
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明