博碩士論文 108521136 完整後設資料紀錄

DC 欄位 語言
DC.contributor電機工程學系zh_TW
DC.creator翁承揚zh_TW
DC.creatorCheng-Yang Wengen_US
dc.date.accessioned2022-8-4T07:39:07Z
dc.date.available2022-8-4T07:39:07Z
dc.date.issued2022
dc.identifier.urihttp://ir.lib.ncu.edu.tw:88/thesis/view_etd.asp?URN=108521136
dc.contributor.department電機工程學系zh_TW
DC.description國立中央大學zh_TW
DC.descriptionNational Central Universityen_US
dc.description.abstract隨著技術節點的演進及製程技術的改良,電晶體及金屬導線可以進一步微縮,並且讓單位面積裡可以容納更多的電晶體,然而隨著面積的微縮,金屬繞線的程度會越來越複雜,因此增加了金屬繞線(Interconnect)的長度,使金屬導線的電阻值也增加,另一方面,金屬導線之間的距離越來越小,金屬導線與金屬導線之間互相耦合的情況便不可忽略。因此本論文利用積層型三維(Monolithic 3D)堆疊技術,以不同三維堆疊設計優化電路特性,研究積層型三維佈局(Layout)對邏輯電路速度的影響。 本論文主要探討的邏輯電路包含Inverter、NAND及NOR,利用Synopsys TCAD的Sentaurus Structure Editor (SDE)建立三維結構來分析邏輯電路的特性。論文內容分為三個主題,第一部分介紹本篇論文使用的元件結構-鰭式場效應電晶體以及中段及後段製程金屬導線結構參數。第二部分,本論文利用Transistor-Level積層型三維堆疊來設計邏輯電路,並研究三種Transistor-Level積層型三維堆疊設計,分別是折疊型(Folding)、拼接型(Stitching)及分離型(Separating),三種設計皆是將N型電晶體放在上層(Top-tier),P型電晶體放在下層(Bottom-tier),目的是在製程上可以調整製作流程並獨立優化N型及P型電晶體特性。 第三部分是比較Transistor-Level積層型三維堆疊與傳統二維堆疊的邏輯電路,透過積層型三維堆疊重新設計Inverter、NAND及NOR,可以發現不但能縮小邏輯電路的單元(cell)面積,也能減少金屬導線的繞線長度,使邏輯電路的延遲時間(delay time)降低。zh_TW
dc.description.abstractWith the evolution of technology nodes and the improvement of process technology, transistors and metal wires can be scaled down, more transistors can be accommodated in a unit area. However, as the area is reduced, the degree of metal wire routing will become more and more complicated. Since the length of the interconnect metal is increased, the resistance value of the metal wire is increased. On the other hand, the smaller the distance between the metal wires, the metal to metal coupling cannot be ignored. Therefore, this thesis uses the monolithic 3D stacking technology to optimize the circuit characteristics considering different 3D stacking designs. The logic circuits discussed in this thesis includes Inverter, NAND and NOR. We analyze the characteristics of the logic circuit by using Sentaurus Structure Editor (SDE) from Synopsys TCAD to build a three-dimensional structure. In the first part, we introduce the device structure, fin field effect transistor (FinFET), and the metal wire structure parameters of middle-of-line (MOL) and back-end-of-line (BEOL). In the second part, we use the Transistor-Level monolithic 3D stacking technique to design logic circuits, this thesis investigated three Transistor-Level monolithic 3D stacking schemes, including Folding, Stitching and Separating. All the Transistor-Level monolithic 3D stacking schemes in this thesis are designed with N-type transistors on the top-tier and P-type transistors on the bottom-tier. The purpose is to adjust the manufacturing process and optimize the transistor characteristics independently. In the third part, we analyze the logic circuits of Transistor-Level monolithic 3D stacking schemes compared with traditional 2D stacking. The proposed monolithic 3D stacking designs can reduce not only the logic circuit area, but also interconnect length that makes wire routing resistance low and reduces the delay time.en_US
DC.subject積層型三維堆疊zh_TW
DC.subject中段製程zh_TW
DC.subject後段製程zh_TW
DC.subject邏輯電路zh_TW
DC.subjectMonolithic 3D integrationen_US
DC.subjectmiddle-of-lineen_US
DC.subjectback-end-of-lineen_US
DC.subjectlogic circuiten_US
DC.title積層型三維邏輯電路之性能分析zh_TW
dc.language.isozh-TWzh-TW
DC.titlePerformance Analysis of Monolithic 3D Logic Circuitsen_US
DC.type博碩士論文zh_TW
DC.typethesisen_US
DC.publisherNational Central Universityen_US

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明