博碩士論文 101521086 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:146 、訪客IP:3.16.29.209
姓名 陳正傑(Cheng-Chieh Chen)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 在擺置階段使用正反器合併與時鐘閘複製技術節約時鐘網路功耗
(In-Placement Power Saving for a Clock Network with Flip-Flop Merging and Gated-Clock Cloning)
相關論文
★ 運算放大器之自動化設計流程及行為模型研究★ 高速序列傳輸之量測技術
★ 使用低增益寬頻率調整範圍壓控震盪器 之1.25-GHz八相位鎖相迴路★ 類神經網路應用於高階功率模型之研究
★ 使用SystemC語言建立IEEE 802.3 MAC 行為模組之研究★ 以回填法建立鎖相迴路之行為模型的研究
★ 高速傳輸連結網路的分析和模擬★ 一個以取樣方式提供可程式化邏輯陣列功能除錯所需之完全觀察度的方法
★ 抑制同步切換雜訊之高速傳輸器★ 以行為模型建立鎖相迴路之非理想現象的研究
★ 遞迴式類神經網路應用於序向電路之高階功率模型的研究★ 用於命題驗証方式的除錯協助技術之研究
★ Verilog-A語言的涵蓋率量測之研究★ 利用類神經模型來估計電源線的電流波形之研究
★ 5.2GHz CMOS射頻接收器前端電路設計★ 適用於OC-192收發機之頻率合成器和時脈與資料回復電路
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 近年來因為可攜式電子產品的普及,低功率的積體電路設計技術也愈來愈重要。根據 [12] 的實驗結果指出,在整個積體電路中,時鐘網路(clock network)所占的動態功率(dynamic power)消耗百分比為最大,最高可以到達70%,因此如果能夠大量地減少時鐘網路的功率消耗,對電路的整體功率消耗也能有效地改善。

在許多的相關文獻中提到使用時鐘閘(clock gate)和多位元正反器(multi-bit flip-flop)可以有效地節省時鐘網路的功率消耗。然而大部分的研究都只針對時鐘閘或多位元正反器其中之一進行時鐘網路的功率優化,或是同時考慮兩者但是卻在後擺置階段(post-placement)處理,因此效果並不夠好。

在本篇論文中,我們將同時使用時鐘閘複製(gated-clock cloning)與正反器合併(flip-flop merging)技術,並將其融入全域擺置器(global placer)中,在擺置階段(in-placement)就開始進行時鐘網路的功率優化。利用調整標準元件(standard cell)、正反器和時鐘閘之間互相影響的力量(force),儘可能地多使用多位元正反器以及複製適當數量的時鐘閘,使得時鐘網路的動態功率消耗為最低。實驗結果顯示,我們提出的方法,可以讓時鐘網路的動態功率消耗,比起先前的研究再降低約49%。
摘要(英) Low power techniques in integrated circuit designs are more important because portable electric products are popular in recent years. According to the experimental results in [12], up to 70% of the dynamic power is dissipated by a clock network. If the power consumption of a clock network can be reduced, the total power consumption of the circuit can effectively get improvement.

Previous works mentioned that using clock gates and multi-bit flip-flops can effectively reduce the power consumption of a clock network. For optimizing the power consumption of a clock network, most of previous works only focus on using clock gates or multi-bit flip-flops in the placement stage, or simultaneously using clock gates and flip-flops in the post-placement stage. Therefore, both methods are hard to obtain better results.

In this thesis, we integrated gated-clock cloning and flip-flop merging techniques in our global placer. Clock gates and multi-bit flip-flops are used simultaneously to optimize the dynamic power of a clock network in the placement stage. As many as possible multi-bit flip-flops and a suitable number of clock gates are determined by the proposed algorithm. Experimental results showed that the proposed algorithms can reduce more than 49% dynamic power of a clock network than previous works.
關鍵字(中) ★ 正反器合併
★ 時鐘閘複製
★ 多位元正反器
★ 功率
關鍵字(英) ★ Flip-flop merging
★ Gated-clock cloning
★ Multi-bit flip-flops
★ Power
論文目次 摘要 i
Abstract ii
致謝 iii
目錄 iv
圖目錄 vi
表目錄 viii
第一章、緒論 1
1-1 時鐘閘 2
1-2 多位元正反器 5
1-3 論文結構 6
第二章、相關研究 7
2-1 時鐘閘複製技術 7
2-1-1 以切割為基礎的演算法 7
2-1-2 以叢集為基礎的演算法 10
2-2 正反器合併技術 10
2-2-1 在後擺置階段 10
2-2-2 在擺置階段 12
2-3 同時考慮時鐘閘複製與正反器合併技術 14
2-4 研究動機 15
2-4-1 本篇的特色 17
2-4-2 問題描述 18
第三章、SimPL擺置器介紹 19
3-1 連線模型 22
3-2 前瞻式擺置合法化 23
第四章、演算法 25
4-1 時鐘閘群組決定 26
4-2 時序分析 28
4-3 正反器合併 30
4-4 時鐘閘複製 33
4-5 更新連線關係與權重 34
4-6 線性系統求解 35
第五章、實驗結果及分析 37
5-1 實驗環境 37
5-1-1 時鐘網路的功率模型 38
5-1-2 測試檔案 39
5-2 實驗結果與比較 39
5-2-1 實驗一 40
5-2-2 實驗二 45
第六章、結論 50
參考文獻 51
參考文獻 [1] ISPD 2010 High Performance Clock Network Synthesis Contest. [Online]. Available: http://archive.sigda.org/ispd/contests/10/ispd10cns.html.
[2] ISPD 2014 Detailed Routing-Driven Placement Contest. [Online]. Available: http://www.ispd.cc/contests/14/ispd2014_contest.html.
[3] Nangate 45nm Open Cell Library. [Online]. Available: http://www.nangate.com/.
[4] C.-L. Chang, I. H.-R. Jiang, Y.-M. Yang, E. Y.-W. Tsai, and A. S.-H. Chen, “Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering,” Proc. International Symposium on Physical Design, pp. 121–128, 2012.
[5] C.-C. Hsu, Y.-C. Chen, and M. P.-H. Lin, “In-Placement Clock-Tree Aware Multi-Bit Flip-Flop Generation for Power Optimization,” Proc. International Conference Computer-Aided Design, pp. 592–598, 2013.
[6] I. H.-R. Jiang, C.-L. Chang, and Y.-M. Yang, “INTEGRA: Fast Multi-Bit Flip-Flop Clustering for Clock Power Saving,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 2, pp. 192–204, Feb. 2012.
[7] M.-C. Kim, D.-J. Lee, and I. L. Markov, “SimPL: An algorithm for Placing VLSI circuits,” Communication of the ACM, vol. 56, no. 6, pp. 105–113, Jun. 2013.
[8] M. P.-H. Lin, C.-C. Hsu, and Y.-T. Shang, “Post-Placement Power Optimization with Multi-Bit Flip-Flops,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 12, pp. 1870–1882, Dec. 2011.
[9] S.-C. Lo, C.-C Hsu, and M. P.-H. Lin, “Power Optimization for Clock Network with Clock Gate Cloning and Flip-Flop Merging,” Proc. International Symposium on Physical Design, pp. 77–83, 2014.
[10] J. MacQueen, “Some Methods for Classification and Analysis of Multivariate Observations,” Proc. Fifth Berkeley Symposium on Mathematical Statistics and Probability, vol. 1, pp. 281-297, 1967.
[11] P. Spindler and F. M. Johannes, “Kraftwerk2‒A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 8, pp. 1398–1411, Aug. 2008.
[12] R. S. Shelar and M. Patyra, “Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, no. 10, pp. 1623–1627, Oct. 2013.
[13] W. Shen, M. Cai, X. Hong, and J. Hu, “An Effective Gated Clock Tree Design Based on Activity and Register Aware Placement,” IEEE Transactions on Very Large Scale Integration (VLSI) System, vol. 18, no. 12, pp. 1639–1648, Dec. 2010.
[14] Y.-T. Shyu, J.-M. Lin, C.-P. Huang, C.-W. Lin, Y.-Z. Lin, and S.-J. Chang, “Effective and Efficient Approach for Power Reduction by Using Multi-Bit Flip-Flops,” IEEE Transactions on Very Large Scale Integration (VLSI) System, vol. 21, no. 4, pp. 624–635, Apr. 2013.
[15] C.-C. Tsai, Y. Shi, G. Luo, and I. H.-R. Jiang, “FF-Bond: Multi-Bit Flip-Flop Bonding at Placement,” Proc. International Symposium on Physical Design, pp. 147–153, 2013.
[16] S. K. Teng and N. Soin, “Regional Clock Gate Splitting Algorithm for Clock Tree Synthesis,” Proc. IEEE International Conference on Semiconductor Electronics, pp. 131–134, 2010.
[17] R. Vishweshwara, N. Mahita, and R. Venkatraman, “Placement Aware Clock Gate Cloning and Redistribution Methodology,” Proc. IEEE/ACM International Symposium on Quality of Electronic Design, pp. 432–436, 2012.
[18] S.-H. Wang, Y.-Y. Liang, T.-Y. Kuo, and W.-K. Mak, “Power-Driven Flip-Flop Merging and Relocation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 2, pp. 180–191, Feb. 2012.
指導教授 劉建男、陳泰蓁
(Chien-Nan Liu、Tai-Chen Chen)
審核日期 2014-7-24
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明