博碩士論文 101521115 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:20 、訪客IP:18.221.187.121
姓名 陳廷宗(Ting-tsung Chen)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 具自適應增益調整之時脈與資料回復電路
(A Clock and Data Recovery Circuit with Adaptive Gain Control)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨半導體產業發展與電腦相關產業的興起,資料傳輸頻寬逐漸上升,傳統並列傳輸方式漸漸被串列傳輸取代,例如DisplayPort、SATA、USB、及PCI-E 等皆使用串列傳輸介面。本論文參考DisplayPort規格實現一個時脈與資料回復電路。
本論文實現了自適應增益調整之時脈與資料回復電路,自適應增益控制電路利用抖動量測的概念與回復時脈本身的特性,分辨輸入資料當下的相位抖動屬於高頻或是低頻。藉由調整時脈資料回復電路頻寬達到高頻與低頻的資料抖動下,皆能提高抖動容忍度。本論文使用TSMC 90 nm(TN90GUTM) 1P9M製程來實現,電路操作電壓為1 V。輸入資料速率為5.4 Gbps時,回復時脈速率為2.7 GHz,抖動量為23.11 ps(p-p)。在5.4 Gbps速率下,高頻與低頻抖動容忍度改善量分別為60.9 %與81.6 %。功率消耗為24.8 mW,晶片面積為1260 1178 um2,核心電路部分面積則為323 329 um2。
摘要(英) In recent year, according to rapid development of process and computers, the data bandwidth increases progressively. The serial data transmission is widely used for bus instead of parallel data transmission, for example, DisplayPort, SATA, USB, and PCI-E. This study presents a clock and data recovery (CDR), and takes the DisplayPort specification as reference material.
In this thesis, a CDR with adaptive gain control is proposed. The adaptive gain control circuit measures the jitter of recovered clock to detect the input data implied high-frequency or low-frequency jitter at the moment. By adjusting the bandwidth of data recovery loop, the clock and data recovery circuits can improve jitter tolerance at high-frequency and low-frequency. At 5.4 Gbps data rate, CDR jitter tolerance improvement is 60.9 percent at high-frequency, and 81.6 percent at low-frequency. This proposed was implemented by TSMC 90 nm (TN90GUTM) 1P9M process with 1 V supply voltage. When input data rate is at 5.4 Gbps, the recovered clock rate is 2.7 GHz. The period jitter of the output recovered clock is 23.11 ps (p-p). The power consumption of the CDR is 24.8 mW. The chip area is 1260 1178 um2 and the core area is 323 329 um2.
關鍵字(中) ★ 自適應增益控制
★ 時脈與資料回復電路
★ 抖動量測
關鍵字(英) ★ Adaptive Gain Control
★ CDR
★ Jitter Measurement
論文目次 摘要 i
Abstract ii
目錄 iv
圖目錄 viii
表目錄 xii
第1章 緒論 1
1.1 研究動機 1
1.2 論文架構 3
第2章 時脈與資料回復電路之抖動考量 5
2.1 時脈抖動簡介 5
2.2 定量性抖動(DJ) 6
2.2.1 資料相關抖動(DDJ) 6
2.2.2 責任週期失真(DCD) 7
2.2.3 週期性抖動(PJ) 8
2.3 隨機性抖動(RJ) 9
2.4 眼圖分析 10
2.5 誤碼率 11
2.6 時脈與資料回復電路的抖動函數 14
2.6.1 抖動轉移函數 14
2.6.2 抖動容忍度 15
第3章 時脈資料回復電路背景簡介 17
3.1 時脈與資料回復電路簡介 17
3.1.1 串列傳輸與並列傳輸 18
3.1.2 資料型態 18
3.2 取樣速率 19
3.3 傳統時脈與資料回復電路 20
3.3.1 鎖相迴路式時脈與資料回復電路 20
3.3.2 混合鎖相迴路/延遲鎖相迴路式時脈與資料回復電路 22
3.3.3 超取樣式時脈與資料回復電路 23
3.3.4 相位選擇式時脈與資料回復電路 24
3.4 提高抖動容忍度設計 25
3.4.1 雙增益路徑之超取樣式時脈與資料回復電路 25
3.4.2 自適應迴路增益之時脈與資料回復電路 26
第4章 具自適應增益調整之時脈與資料回復電路 29
4.1 電路架構 29
4.2 操作說明 31
4.3 系統分析 35
4.3.1 頻率資訊鎖相迴路系統分析 35
4.3.2 時脈資料回復迴路系統分析 39
4.4 行為模型(Behavior model) 44
4.5 子電路介紹 46
4.5.1 自適應增益控制電路(Adaptive Gain Control Circuit) 46
(a) 相位偵測器(PD) 47
(b) 相位累加器(Counter) 47
(c) 偵測窗格(Detect Window) 48
4.5.2 相位頻率偵測器(PFD) 49
4.5.3 電荷幫浦(Charge Pump) 50
4.5.4 壓控振盪器(VCO) 52
4.5.5 頻帶選擇器(Band Selector) 55
4.5.6 鎖定偵測器(Lock Detector) 58
4.5.7 迴路濾波器(LF) 59
4.5.8 除頻器(Divider) 60
4.5.9 半速率二進位相位偵測器(Half-rate BBPD) 61
4.5.10 電壓電流轉換器(V/I) 63
4.6 模擬結果 65
4.6.1 時脈資料回復迴路控制電壓模擬結果 65
4.6.2 時脈資料回復迴路眼圖模擬結果 66
4.6.3 時脈資料回復迴路結果整理 68
第5章 晶片佈局與量測 69
5.1 電路佈局 69
5.1.1 晶片封裝 70
5.1.2 佈局規劃與電源規劃 72
5.2 量測考量 73
5.2.1 量測環境 73
5.2.2 印刷電路板 74
5.2.3 輸入緩衝器 75
5.2.4 輸出緩衝器 76
5.3 晶片與印刷電路板照相 77
5.4 量測結果 78
5.4.1 頻率資訊鎖相迴路量測 78
5.4.2 資料回復迴路量測 79
5.4.3 抖動容忍度曲線量測 84
5.5 規格比較表 85
第6章 結論 87
6.1 未來研究方向 87
參考文獻 89
參考文獻 [1] PCI Express® Base Specification, Revision 2.1, PCI-SIG, 2010.

[2] Serial ATA International Organization, Serial ATA Revision 3.0, SATA-IO, 2009.

[3] VESA DisplayPort Standard, Version 1, Revision 2, Jan. 2010.

[4] WAVECREST Corporation, “Understanding Jitter, ” 2001.

[5] Tektronix, “數位示波器的應用抖動(jitter)測量”.

[6] L. Luo, J. Wilson, S. Mick, J. Xu, L. Zhang, E. Erickson, and P. Franzon, “A 36 Gb/s ACCI mutli-channel bus using a fully differential pulse receiver,” in Proc. IEEE Custom Integrated Circuits Conf., Sep. 2006, pp. 773–776.

[7] Maxim, “Choosing AC-Coupling Capacitors,” Application Note:HFAN-1.1, 2000.

[8] Agilent Technologies, “Finding sources of jitter with real-time jitter analysis,” 2008.

[9] STMicroelectronics, “Improving a Jitter Definition,” 2007.

[10] SHF Communication Technologies AG, “Application Note AN-JITTER-1-Jitter Analysis using SHF 10000 Series Bit Error Rate Testers,” 2005.

[11] Agilent Technologies, “Measuring Jitter in Digital Systems,” Application Note 1448-1.

[12] Altera Corporation, “Deterministic Jitter (DJ) Definition and Measurement,” 2009.

[13] Maxim, “Optical receiver performance evaluation”.

[14] Agilent, “Jitter Fundamentals : Jitter Tolerance Testing with Agilent 81250 ParBERT,” 2003.

[15] Texas Instruments, “Comparing Bus Solutions,” 2009.

[16] B. Razavi, Design of Integrated Circuit for Optical Communications. New York: McGraw-Hill, 2003.

[17] Maxim, “NRZ Bandwidth - HF Cutoff vs. SNR,” Application Note: HFAN-09.0.1.

[18] R. Inti, W. Yin, A. Elshazly, N. Sasidar, and P. K. Hanumolu “A 0.5-to-2.5 Gb/s reference-less half-rate digital CDR with unlimited frequency acquisition range and improved input duty-cycle error tolerance,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3150–3162, Dec. 2011.

[19] S.J. Song, S.M. Park, and H.j. Yoo, “A 4-Gb/s clock and data recovery circuit using four-phase 1/8-rate clock,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1213–1219, Jul. 2003.

[20] 劉深淵, 楊清淵, 鎖相迴路, 滄海書局, 2006.

[21] W.Y Lee, K.D. Hwang, and L.S. Kim, “A 5.4/2.7/1.62-Gb/s receiver for DisplayPort version 1.2 with multi-rate operation scheme,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 12, pp. 2858–2866, Nov. 2012.

[22] W.Y. Lee and L.S. Kim, “A 5.4-Gb/s clock and data recovery circuit using seamless loop transition scheme with minimal phase noise degradation,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 11, pp. 2518–2528, Nov. 2012.

[23] D. Dalton, K. Chai, E. Evans, M. Ferriss, D. Hitchcox, P. Murray, S. Selvanayagam, P. Shepherd, and L. DeVito, “12.5-Mb/s to 2.7-Gb/s continuous-rate CDR with automatic frequency acquisition and data-rate readback,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2713–2725, Dec. 2005.

[24] X. Maillard, F. Devisch, and M. Kuijk, “A 900-Mb/s CMOS data recovery DLL using half-frequency clock,” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp. 711–715, Jun. 2002.

[25] J. Kim and D.K. Jeong, “Multi-gigabit-rate clock and data recovery based on blind oversampling,” IEEE Commun. Mag., vol. 41, pp. 68–74, Dec. 2003.



[26] M. Nogawa, K. Nishimura, S. Kimura, T. Yoshida, T. Kawamura, M. Togashi, K. Kumozaki, and Y. Ohtomo, “A 10Gb/s burst-mode CDR IC in 0.13um CMOS,” in IEEE Int. Solid-State Circuit Conf. Dig. Tech. Papers, Feb. 2005, pp. 228–229.

[27] M. Brownlee, P. K. Hanumolu, and U. K. Moon, “A 3.2 Gb/s oversampling CDR with improved jitter tolerance,” in Proc. IEEE Custom Integrated Circuits Conf., 2007, pp. 353–356.

[28] J. D. H. Alexander, “Clock recovery from random binary data,” IET Electronics Letters, vol. 11, pp. 541–542, Oct. 1975.

[29] H. J. Jeon, R. Kulkarni, and Y. C. Lo, “A bang-bang clock and data recovery using mixed mode adaptive loop gain strategy,” IEEE J. Solid-State Circuits, vol. 48, no. 6, pp. 1398–1415, Jun. 2013.

[30] J. Lee, K. S. Kundert, and B. Razavi, “Analysis and modeling of bang-bang clock and data recovery circuits,” IEEE J. Solid-State Circuits, Express Briefs, vol. 39, no. 9, pp. 1571–1580, Sep. 2004.

[31] P. Heydari, “Analysis of the PLL jitter due to power/ground and substrate noise,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 12, pp.2404- 2416, Dec. 2004.

[32] S. M. Paletmo and J. P. de Gyve, “A multi-band single-loop PLL frequency synthesizer with dynamically-controlled switched tuning VCO,” IEEE Midwest Symposium on Circuits and Systems, pp. 818-821, 2000.

[33] L. Sun and D. Nelson, ” A 1.0 V GHz range 0.13um CMOS frequency synthesizer,” in Proc. IEEE Custom Integrated Circuits Conf., 2001, pp. 327–330.

[34] W. B. Wilson, U. K. Moon, K. R. Lakshmikumar, and L. Dai, “A CMOS self-calibrating frequency synthesizer,” IEEE J. Solid-State Circuits, pp. 1437–1444, 2000.

[35] J. Nakanishi, H. Notani, H. Makino, and H. Shinohara, “A wide lock-in range PLL using self-calibrating technique for processors,” in Proc. IEEE ASSCC, 2005, pp. 285–288.

[36] T.W. Ahn, C.G. Yoon, and Y. Moon, “An adaptive frequency calibration technique for fast locking wideband frequency synthesizers,” IEEE Midwest Symposium on Circuits and Systems, pp. 1899–1902, 2005.

[37] K. S. Lee, E. Y. Sung, I. C. Hwang, and B. H. Park, “Fast AFC technique using a code estimation and binary search algorithm for wideband frequency synthesis,” in Proc. IEEE European Solid-State Circuits Conference, 2005, pp. 181–184.

[38] S. Ali and M. Margala, “A 2.4-GHz auto-calibration frequency synthesizer with on-chip built-in-self-test solution,” IEEE International Symposium on Circuits and Systems, 2006, pp. 4651–4654.

[39] S. Ali, G. Briggs, and M. Margala, “A high frequency, low jitter auto-calibration phase-locked loop with built-in-self-test,” IEEE International Symposium on Defect and Fault Tolerance, 2009, pp. 591–599.

[40] H. Song, D. S. Kim, D. H. Oh, S. Kim, and D. K. Jeong, “A1.0–4.0-Gb/s all-digital CDR with 1.0-ps period resolution DCO and adaptive proportional gain control,” IEEE J. Solid-State Circuits, vol. 46, pp. 424–434, Feb. 2011.

[41] J. Song, I. Jung, M. Song, Y. H. Kwak, S. Hwang, and C. Kim, “A 1.62 Gb/s–2.7 Gb/s referenceless transceiver for DisplayPort v1.1a with weighted phase and frequency detection,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 2, pp. 268–278, Feb. 2013.

[42] 姜柏阡, “基於無限相位補償技術延遲鎖相迴路之6 Gbps時脈與資料回復電路,” 碩士論文, 國立中央大學, 2012.

[43] 呂耕維, “應用於雙速率串列傳輸系統之時脈與資料回復電路,” 碩士論文, 國立中央大學, 2013.
指導教授 鄭國興(Kuo-hsing Cheng) 審核日期 2015-8-20
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明