博碩士論文 102521001 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:11 、訪客IP:18.220.106.241
姓名 孫世洋(Shi-Yang Sun)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 以符碼間干擾偵測技術實現自適應等化器之5 Gbps半速率時脈與資料回復電路
(A 5 Gbps Half-Rate Clock and Data Recovery with Adaptive Equalizer Using ISI Detecting Technique)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨半導體產業發展與電腦相關產業的興起,資料傳輸頻寬逐漸上升,傳統並列傳輸方式漸漸被串列傳輸取代,例如DisplayPort、SATA、USB、及PCI-E 等皆使用串列傳輸介面。本論文參考USB 3.1 Gen1規格實現一個具自適應等化器之資料與時脈回復電路。
本論文將自適應等化器控制機制內嵌於資料與時脈回復電的相位偵測器中,使得原本兩個獨立的迴路能夠結合在一起,以達到降低硬體複雜度,與此同時,利用混合式半速率二進位相位偵測器與電流模式電容放大技術來達到低的功率消耗與降低面積。本論文使用TSMC 90 nm (TN90GUTM) 1P9M之製程來實現,電路操作電壓為1 V,輸入資料速率為5 Gbps時,回復時脈速率為2.5 GHz,峰對峰值抖動量15.56 ps,均方根值抖動量為2.27 ps,在通道長度為0-m時(短通道),等化後資料的峰對峰值抖動量為21.33 ps,方均根值抖動量為3.41 ps,在通道長度為1.5-m時(長通道) ,等化後資料的峰對峰值抖動量為24 ps,方均根值抖動量為4.84 ps。功率消耗為21.9 mW,其中資料與時脈回復電路之功率消耗為15.1 mW,自適應等化器之功率消耗為6.8 mW,晶片面積為1.38 mm2,核心電路面積為0.13 mm2。
摘要(英) In recent year, according to rapid development of process and computers, the data bandwidth increases progressively. The serial data transmission is widely used for bus instead of parallel data transmission, for example, DisplayPort, SATA, USB, and PCI-E. This study presents a clock and data recovery (CDR), and takes USB 3.1 Gen1 specification as reference material.
In this thesis, the control loop of adaptive equalizer is embedded in phase detector of clock and data recovery to achieve low hardware complexity, meanwhile, using hybrid phase detector and current mode capacitance magnification method achieve small area and low power. This proposed was implemented by TSMC 90 nm (TN90GUTM) 1P9M process with 1V supply voltage. When CDR operates at 5 Gbps, the frequency of recovered clock is 2.5 GHz, peak-to-peak jitter of recovered clock is 15.56 ps, RMS jitter of recovered clock is 2.27 ps. When channel length is 0-m (short channel), peak-to-peak jitter of equalized data is 21.33 ps, RMS jitter of equalized data is 3.41 ps. When channel length is 1.5-m (long channel), peak-to-peak jitter of equalized data is 24 ps, RMS jitter of equalized data is 4.84 ps. The total power consumption of this work is 21.9 mW, the power consumption of CDR and adaptive equalizer are 15.1 mW and 6.8 mW. The chip area is 1.38 mm2 and the core area is 0.13 mm2.
關鍵字(中) ★ 資料與時脈回復電路
★ 鎖相迴路
★ 自適應等化器
關鍵字(英) ★ Clock and Data Recovery (CDR)
★ Phase Locked Loop (PLL)
★ Adaptive Equalizer (EQ)
論文目次 摘要 i
Abstract ii
誌謝 iii
目錄 iv
圖目錄 x
表目錄 xviii
第1章 緒論 1
1.1 研究動機 1
1.2 論文架構 5
第2章 高速串列傳輸之訊號完整性 7
2.1 基本觀念 7
2.1.1 隨機二元資料的特性 7
2.1.2 資料編排形式 9
2.1.3 單一位元脈衝響應與等化器之關係 10
2.2 傳輸線理論 13
2.3 相位雜訊理論 18
2.4 時脈抖動簡介 23
2.4.1 隨機性抖動(RJ) 24
2.4.2 定量性抖動(DJ) 25
2.4.2.1 資料相關抖動(DDJ) 25
2.4.2.2 責任週期失真(DCD) 26
2.4.2.3 週期性抖動(PJ) 27
2.4.3 抖動量測的方法 28
2.4.3.1 時間間隔誤差(Time Interval Error, TIE) 29
2.4.3.2 週期抖動(Period Jitter) 30
2.4.3.3 循環抖動(Cycle-to-Cycle Jitter, C2C Jitter) 31
2.4.3.4 三種抖動量測方式之差別 32
2.5 眼圖分析 33
2.6 誤碼率 34
第3章 時脈與資料回復電路和等化器之背景簡介 37
3.1 時脈與資料回復電路簡介 37
3.1.1 資料型態 38
3.1.2 相位偵測器型態 39
3.1.3 取樣速率 40
3.1.4 抖動轉移函數 41
3.1.5 抖動容忍度 42
3.2 傳統時脈與資料回復電路 43
3.2.1 鎖相迴路式時脈與資料回復電路 43
3.2.2 混合鎖相迴路/延遲鎖相迴路式資料與時脈回復電路 45
3.2.3 超取樣式時脈與資料回復電路 46
3.2.4 相位選擇式時脈與資料回復電路 47
3.3 等化器電路簡介 48
3.3.1 等化器的補償狀態 48
3.3.2 等化器的種類 51
3.3.3 自適應機制的種類 53
3.4 傳統連續時間線性等化器電路 57
3.4.1 雙路徑回授控制之自適應等化器 57
3.4.2 頻譜平衡技術之自適應等化器 58
3.4.3 結合資料與時脈電路之自適應等化器 59
3.4.4 利用斜率偵測技術之自適應等化器 60
3.5 比較與討論 61
第4章 具自適應等化器之資料與時脈回復電路設計與實現 63
4.1 電路架構 63
4.2 操作說明 65
4.2.1 在具有符碼間干擾之狀態下資料與時脈回復電路之鎖定情況 65
4.2.2 符碼間干擾偵測器分析 66
4.2.2.1 探討ISIUP之行為 68
4.2.2.2 探討ISIDN之行為 71
4.2.2.3 利用符碼間干擾偵測器實現之自適應等化器迴路 75
4.3 系統分析 77
4.3.1 頻率資訊鎖相迴路系統分析 77
4.3.2 時脈與資料回電路系統分析 80
4.3.3 以符碼間干擾偵測技術實現自適應等化器之系統分析 88
4.4 行為模擬 91
4.5 子電路介紹 93
4.5.1 符碼間干擾偵測電路 93
4.5.2 利用電流放大技術之迴路濾波器 96
4.5.3 混合式之半速率二進相位偵測器 97
4.5.4 相位頻率偵測器 100
4.5.5 電荷幫浦 101
4.5.6 電壓控制震盪器 103
4.5.7 連續時間線性等化器 105
4.5.8 除頻器 106
4.5.9 擺幅轉換電路 107
4.6 模擬結果 109
4.6.1 通道模型 109
4.6.2 操作在2.5 GHz之鎖相迴路模擬 111
4.6.2.1 佈局前模擬 111
4.6.2.2 佈局後模擬 112
4.6.2.3 閉迴路相位雜訊模擬 114
4.6.3 具自適應等化器之5 Gbps資料與時脈回復電路模擬 115
4.6.3.1 佈局前模擬 115
4.6.3.1.1 長通道模擬 116
4.6.3.1.2 短通道模擬 117
4.6.3.2 佈局後模擬 118
4.6.3.2.1 長通道模擬 120
4.6.3.2.1 短通道模擬 121
4.6.4 抖動容忍度模擬 122
4.6.5 結果整理 124
4.6.5.1 操作在2.5 GHz之鎖相迴路模擬 124
4.6.5.2 具自適應等化器之5 Gbps資料與時脈回復電路模擬 125
第5章 晶片佈局與量測 129
5.1 電路佈局 129
5.1.1 晶片封裝 130
5.1.2 佈局規劃與電源規劃 132
5.2 量測考量 133
5.2.1 量測環境 133
5.2.2 印刷電路板 134
5.2.3 高頻輸出緩衝器 135
5.2.4 低頻輸出緩衝器 138
5.2.5 高頻輸入端 139
5.3 晶片與印刷電路板照相 141
5.4 量測結果 142
5.4.1 頻率資訊鎖相迴路量測 142
5.4.2 等化器量測 145
5.4.3 資料與時脈回復電路量測 146
5.4.4 具自適應等化器之資料與時脈回復電路量測 149
5.4.5 抖動容忍度量測 156
5.5 規格比較表 162
第6章 結論 165
6.1 結論 165
6.2 未來研究方向 166
6.2.1 抵銷隨機偏移 166
6.2.2 控制機制數位化 166
參考文獻 167
參考文獻 [1] PCI Express® Base Specification, Revision 2.1, PCI-SIG, 2010.
[2] Serial ATA International Organization, Serial ATA Revision 3.0, SATA-IO, 2009.
[3] Universal Serial Bus Specification, Revision 3.1, USB-IO, 2013
[4] Behzad Razavi, Design of Integrated Circuits for Optical Communications. McGraw-Hill: Behzad Razavi, 2003.
[5] A. X. Widmer, and P. A. Franaszek,”A DC-balanced, partitioned-block, 8b/10b reansmission code,” IBM J. Res and Develop., vol. 27, pp. 440-451, Sep. 1983.
[6] S. H. Hall, G. W. Hall, and J. A. McCall, High-speed digital system design-Ahandbook of interconnect theory and design practices, John-Wiley, 1st ed., 2002
[7] Behzad Razavi, Design of analog CMOS integrated circuits, McGraw-Hill, 2001
[8] Ali Hajimiri, Sotirios Limotyrakis, and Thomas H. Lee,“Jitter and Phase Noise in Ring Oscillators ,”IEEE J. Solid-State Circuits , vol. 34 , no. 6 , pp. 970-804 , Jun. 1999 (EI,SCI)
[9] Ali Hajimiri, and Thomas H. Lee,“Oscillator Phase Noise: A Tutorial ,”IEEE J. Solid-State Circuits , vol. 35 , no. 3 , pp. 326-336 , Mar. 2000
[10] Ali Hajimiri, and Thomas H. Lee,“Design Issues in CMOS Differential LC Oscillators ,”IEEE J. Solid-State Circuits , vol. 34 , no. 5 , pp. 717-724 , May. 1999
[11] Ali Hajimiri, and Thomas H. Lee,“A General Theory of Phase Noise in Electrical Oscillators ,”IEEE J. Solid-State Circuits , vol. 33 , no. 2 , pp. 179-194 , Feb. 1998
[12] WAVECREST Corporation, “Understanding Jitter, ” 2001.
[13] Tektronix, “數位示波器的應用抖動(jitter)測量”.
[14] Lei Luo, John Wilson, Stephen Mick, Jian Xu, Liang Zhang, Evan Erickson, and Paul Franzon, “A 36 Gb/s ACCI mutli-channel bus using a fully differential pulse receiver,” in Proc. IEEE Custom Integrated Circuits Conf., Sep. 2006, pp. 773–776.
[15] Maxim, “Choosing AC-Coupling Capacitors,” Application Note: HFAN-1.1, 2000.
[16] Agilent Technologies, “Finding sources of jitter with real-time jitter analysis,” 2008.
[17] STMicroelectronics, “Improving a Jitter Definition,” 2007.
[18] SHF Communication Technologies AG, “Application Note AN-JITTER-1-Jitter Analysis using SHF 10000 Series Bit Error Rate Testers,” 2005.
[19] Agilent Technologies, “Measuring Jitter in Digital Systems,” Application Note 1448-1.
[20] Altera Corporation, “Deterministic Jitter (DJ) Definition and Measurement,” 2009.
[21] Maxim, “Optical receiver performance evaluation”.
[22] Tektronix, “Understanding and Characterizing Timing Jitter”.
[23] Maxim, “NRZ Bandwidth - HF Cutoff vs. SNR,” Application Note: HFAN-09.0.1.
[24] Rajesh Inti, Wenjing Yin, Amr Elshazly, Naga Sasidar, and Pavan Kumar Hanumolu “A 0.5-to-2.5 Gb/s reference-less half-rate digital CDR with unlimited frequency acquisition range and improved input duty-cycle error tolerance,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3150–3162, Dec. 2011
[25] Seong-Jun Song, Sung Min Park, and Hoi-Jun Yoo, “A 4-Gb/s clock and data recovery circuit using four-phase 1/8-rate clock,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1213–1219, Jul. 2003
[26] 劉深淵, 楊清淵, 鎖相迴路, 滄海書局, 2006.
[27] Won-Young Lee, Kyu-Dong Hwang, and Lee-Sup Kim, “A 5.4/2.7/1.62-Gb/s receiver for DisplayPort version 1.2 with multi-rate operation scheme,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 12, pp. 2858–2866, Nov. 2012
[28] Won-Young Lee and Lee-Sup Kim, “A 5.4-Gb/s clock and data recovery circuit using seamless loop transition scheme with minimal phase noise degradation,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 11, pp. 2518–2528, Nov. 2012
[29] D. Dalton, K. Chai, E. Evans, M. Ferriss, D. Hitchcox, P. Murray, S. Selvanayagam, P. Shepherd, and L. DeVito, “12.5-Mb/s to 2.7-Gb/s continuous-rate CDR with automatic frequency acquisition and data-rate readback,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2713–2725, Dec. 2005
[30] X. Maillard, F. Devisch, and M. Kuijk, “A 900-Mb/s CMOS data recovery DLL using half-frequency clock,” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp. 711–715, Jun. 2002 (EI,SCI)
[31] Jaeha Kim and Deog-Kyoon Jeong, “Multi-gigabit-rate clock and data recovery based on blind oversampling,” IEEE Commun. Mag., vol. 41, pp. 68–74, Dec. 2003
[32] M. Nogawa, K. Nishimura, S. Kimura, T. Yoshida, T. Kawamura, M. Togashi, K. Kumozaki, and Y. Ohtomo, “A 10Gb/s burst-mode CDR IC in 0.13um CMOS,” in IEEE Int. Solid-State Circuit Conf. Dig. Tech. Papers, Feb. 2005, pp. 228–229
[33] Jun Won Jung, and Behzad Razavi, “A 25 Gb/s 5.8 mW CMOS Equalizer,” IEEE J. Solid-State Circuits, vol. 50, no. 2, pp. 515–526, Feb. 2015 (EI,SCI)
[34] Kuo-Hsing Cheng, Yu-Chang Tsai, Yen-Hsueh Wu, and Ying-Fu Lin,“A 5-Gb/s Inductorless CMOS Adaptive Equalizer for PCI Express Generation II Applications ,”IEEE Trans. Circuits Syst. II, Express Briefs , vol. 57 , no. 5 , pp. 324-328 , May. 2010 (EI,SCI)
[35] Jri Lee, Ping-Chuan Chiang, Pen-Jui Peng, Li-Yang Chen, and Chih-Chi Weng, “Design of 56 Gb/s NRZ and PAM4 SerDes Transceivers in CMOS Technologies,” IEEE J. Solid-State Circuits, vol. 50, no. 9, pp. 2061–2072, Sep. 2015 (EI,SCI)
[36] Huaide Wang, and Jri Lee, “A 21-Gb/s 87-mW Transceiver With FFE/DFE/Analog Equalizer in 65-nm CMOS Technology,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 909–920, Apr. 2010 (EI,SCI)
[37] Cecilia Gimeno Gasca, Santiago Celma Pueyo, and Concepcion Aldea Chagoyen, CMOS Continuous-Time Adaptive Equalizers for High-Speed Serial Links. Springer, 2015
[38] Simon O. Haykin, Adaptive Filter Therory (5th Edition). Prentice Hall, 2002
[39] Jong-Sang Choi, Moon-Sang Hwang, and Deog-Kyoon Jeong, “A 0.18-μm CMOS 3.5-Gb/s Continuous-Time Adaptive Cable Equalizer Using Enhanced Low-Frequency Gain Control Method,” IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 419–425, Mar. 2004 (EI,SCI)
[40] Jri Lee, “A 20-Gb/s Adaptive Equalizer in 0.13-μm CMOS Technology,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2058–2066, Sep. 2006 (EI,SCI)
[41] Chih-Fan Liao, and Shen-Iuan Liu, “A 40 Gb/s Serial-Link Receiver With Adaptive Equalization and Clock/Data Recovery,” IEEE J. Solid-State Circuits, vol. 43, no. 11, pp. 2492–2502, Nov. 2008 (EI,SCI)
[42] 李曼茹, “具可關閉數位控制式自我斜率偵測機制之低功率適應性等化器,” 碩士論文, 國立中央大學, 2015.
[43] J. Lee, K. S. Kundert, and B. Razavi, “Analysis and modeling of bang-bang clock and data recovery circuits,” IEEE J. Solid-State Circuits, Express Briefs, vol. 39, no. 9, pp. 1571–1580, Sep. 2004.
[44] Kang-Sub Kwak, and Oh-Kyong Kwon,“Power-Reduction Trhnique Using a Single Edge-Tracking Clock for Multiphase Clock and Data Recovery Circuit ,”IEEE Trans. Circuits Syst. II, Express Briefs , vol. 61 , no. 4 , pp. 239-243 , Apr. 2014 (EI,SCI)
[45] Guanghua Shu, Saurabh Saxena, Woo-Seok Choi, Mrunmay Talegaonkar, Rajesh Inti, Amr Elshazly, BrianYoung, and Pavan Kumar Hanumolu,“A Reference-Less Clock and Data Recovery Circuit Using Phase-Rotating Phase-Locked Loop ,”IEEE J. Solid-State Circuits , vol. 49 , no. 4 , pp. 1036-1047 , Apr. 2014 (EI,SCI)
[46] Young-Ho Kwak, Yongtae Kim, Sewook Hwang, and Chulwoo Kim,“A 20 Gb/s Clock and Data Recovery With a Ping-Pong Delay Line for Unlimited Phase Shifting in 65 nm CMOS Process ,”IEEE Trans. Circuits Syst. I, Reg. Papers , vol. 60 , no. 2 , pp. 303 - 313 , Feb. 2013 (EI,SCI)
[47] Fan-Ta Chen, Min-Sheng Kao, Yu-Hao Hsu, Jen-MingWu, Ching-Te Chiu, Shawn S. H. Hsu, and Mau-Chung Frank Chang,“A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector ,”IEEE Trans. Circuits Syst. I, Reg. Papers , vol. 61 , no. 11 , pp. 3278 - 3287 , Nov. 2014 (EI,SCI)
[48] Junyoung Song, Inhwa Jung, Minyoung Song, Young-Ho Kwak, Sewook Hwang, and Chulwoo Kim,“A 1.62 Gb/s–2.7 Gb/s Referenceless Transceiver for DisplayPort v1.1a With Weighted Phase and Frequency Detection ,”IEEE Trans. Circuits Syst. I, Reg. Papers , vol. 60 , no. 2 , pp. 268 - 278 , Feb. 2013 (EI,SCI)
[49] Dongmyung Lee, Jungwon Han, Gunhee Han, and Sung Min Park,“An 8.5 Gb/s Fully Integrated CMOS Optoelectronic Receiver Using Slope-Detection Adaptive Equalizer ,”IEEE J. Solid-State Circuits , vol. 45 , no. 12 , pp. 2861-2873 , Dec. 2010 (EI,SCI)
[50] Srikanth Gondi, and Behzad Razavi,“Equalization and Clock and Data Recovery Techniques for 10- Gb/s CMOS Serial-Link Receivers ,”IEEE J. Solid-State Circuits , vol. 42 , no. 9 , pp. 1999-2011 , Sep. 2007 (EI,SCI)
[51] Junyoung Song, Sewook Hwang, Hyun-Woo Lee, and Chulwoo Kim,“A 7.5 Gb/s Referenceless Transceiver With Adaptive Equalization and Bandwidth-Shifting Technique for Ultrahigh-Definition Television in a 0.13-μm CMOS Process ,” IEEE Trans. Circuits Syst. II, Express Briefs , vol. 61 , no. 11 , pp. 865 - 869 , Nov. 2014 (EI,SCI)
[52] Haiqi Liu, Yanbo Wang, Changxi Xu, Xinqing Chen, Lei Lin, Yue Yu, WeiWang, Amit Majumder, Gene Chui, Dave Brown, and Al Fang,“A 5-Gb/s Serial-Link Redriver With Adaptive Equalizer and Transmitter Swing Enhancement ,”IEEE Trans. Circuits Syst. I, Reg. Papers , vol. 61 , no. 4 , pp. 1001 - 1011 , Apr. 2014 (EI,SCI)
[53] Wang-Soo Kim, Chang-Kyung Seong, and Woo-Young Choi,“A 5.4-Gbit/s Adaptive Continuous-Time Linear Equalizer Using Asynchronous Undersampling Histograms ,” IEEE Trans. Circuits Syst. II, Express Briefs , vol. 59 , no. 9 , pp. 553 - 557 , Sep. 2012 (EI,SCI)
[54] 洪政亮, “高速有線傳輸系統之時脈產生器關鍵技術,” 碩士論文, 國立中央大學, 2014.
[55] 陳廷宗, “具自適應增益調整之時脈與資料回復電路,” 碩士論文, 國立中央大學, 2014.
[56] 吳彥學, “應用於PCI Express Generation II之5-Gb/s無電感式類比等化器的式計與實現,” 碩士論文, 國立中央大學, 2009.

[57] 姜柏阡, “基於無限相位循環補償技術延遲鎖相迴路之6 Gbps時脈與資料回復電路,” 碩士論文, 國立中央大學, 2012.
[58] 蔡玉章, “應用於有線傳送接收機之可適應性等化器與時脈同步電路的設計與實現,” 碩士論文, 國立中央大學, 2010.
指導教授 鄭國興(Kuo-Hsing Cheng) 審核日期 2016-7-25
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明