博碩士論文 103521015 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:14 、訪客IP:18.117.91.153
姓名 樓禹慷(Yu-Kang Lou)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 自動辨識混合訊號電路中數位區塊之方法
(Automatic Recognition of Digital Blocks in Mixed-Signal Circuits)
相關論文
★ 用於類比電路仿真之波動數位濾波器架構的自動建構方法★ 使用波動數位濾波器與非線性MOS模型的類比電路模擬平台
★ 實現波動數位濾波器架構下之類比仿真器的非線性電晶體模型★ 以節點保留方式進行壓降分析中電源網路模型化簡的方法
★ 以引導式二階權重提取改進辨認二階臨界函數之 研究★ 用於類比電路仿真器的 波動數位濾波器架構之定點數實現方法
★ 以基本類比電路架構為基礎的佈局自動化 工具★ 可保留設計風格及繞線行為之類比佈局遷移技術
★ 運用於記憶體內運算的SRAM功率模型之研究★ 考量可繞度及淺溝槽隔離效應之類比佈局擺置微調方法
★ 一個適用於量化深度神經網路且可調整精確度的處理單元設計: 一種階層式的設計方法★ 一個有效的邊緣智慧運算加速器設計: 一種適用於深度可分卷積的可重組式架構
★ 實現類比電路仿真的波動數位濾波器架構生成與模擬★ 用於類比電路仿真器的波動數位濾波器之硬體最佳化方法
★ 自動辨識混合訊號電路中構成區塊及RLC元件之方法★ 以波動數位濾波器實現類比電路仿真器所需的FPGA表格縮減技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨著製程的演進,混合訊號系統的積體電路設計變得越來越複雜,加速類比與數位混合訊號模擬的時間,是現在驗證單晶片系統設計中很重要的一環,以硬體描述語言建立類比電路的行為模型,是一種有效率的混合訊號系統驗證方式,為了將設計者的電路自動轉換成行為模型,在本論文中提出一套有效率的電路架構分析流程,可以自動萃取出混合訊號設計中屬於數位電路的部分,且建構出一個架構分析平台,將Netlist檔案自動轉換成Verilog檔案,將設計的層級從電晶體層級拉到行為階層,達到加速電路模擬的效果,由幾個電路上的實驗結果來看,我們確實能夠正確辨識出對應的電路,並維持模擬結果的準確度。
摘要(英) The design and development of analog/mixed-signal(AMS) integrated circuits is becoming increasingly complex as technologies advances. Speeding up analog and mixed signal simulation is important in SoC design verification. Modeling analog circuit blocks by hardware description language and building their behavioral models is an efficient verification approach for AMS systems. To transform the circuits of designer into behavioral models automatically, in this thesis, we proposed an efficient structure analysis flow that can extract digital circuits in mixed-signal design automatically, and built a structure analysis platform to enable transforming Netlist files to Verilog automatically, replacing transistor-level design with behavior-level design and achieving the propose of speeding up simulation. With those behavioral models, the verification complexity and the simulation time can be reduced significantly. As shown in the experimental results on several circuits, the proposed approach is able to reach correct recognition with good accuracy.
關鍵字(中) ★ 自動辨識
★ 混合訊號電路
關鍵字(英) ★ Automatic Recognition
★ Mixed-Signal Circuits
論文目次 摘要 iii
Abstract iv
致謝 v
目錄 vi
圖目錄 viii
表目錄 i
第一章、緒論 1
1-1 研究動機 1
1-2 問題定義 7
1-3 論文結構 7
第二章、背景知識 8
2-1 Spice電路格式(Netlist Format) 8
2-2 圖形表示(Graph Representation) 11
2-3 相關研究(Related Works) 12
2-3-1 SubGemini 13
2-3-2 Resource Management 14
2-3-3 DECIDE 16
2-3-4 DC Connect Component Partition Method 18
第三章、架構分析與Verilog檔案產生平台 20
3-1 架構分析與Verilog檔案產生平台流程 20
3-2 前置工作 21
3-2-1 元件名稱統一(Uniform device name) 23
3-2-2 編碼(Encode) 24
3-3 由上而下篩網式架構分析 27
3-3-1 子電路層級辨識 29
3-3-2 DC連線辨識 31
3-3-3 特殊電路後處理 36
3-4 模型建構 41
3-5 輸出Verilog檔案 42
第四章、實驗結果與分析 44
4-1 架構分析結果 44
4-2 行為模型模擬結果 51
4-3 Parallel-in/Serial-out system 模擬結果與模擬時間比較 56
第五章、結論與未來目標 58
第六章、參考文獻 59
參考文獻 [1] http://www.cadence.com/eu/Documents/MicrosoftPowerPoint-ToT2013openend.pdf
[2] https://verificationacademy.com/verification-horizons/october-2012-volume-8-issue-3/improving-analog-mixed-signal-verification-productivity
[3] P. Wambacq, F. Fernández, G. Gielen, W. Sansen, and A. Rodríguez-Vázquez, “Efficient Symbolic Computation of Approximated Small-signal Characteristics” IEEE Journal. Solid-State Circuits, vol. 30, pages. 327–330, Mar 1995.
[4] R. A Rutenbar, G. G. E. Gielen, and J. Roychowdhury, “Hierarchical Modeling, Optimization, and Synthesis for System-Level Analog and RF Designs”, Proceedings of the IEEE, pages 640-669, march 2007.
[5] https://zh.wikipedia.org/wiki/SPICE
[6] CIC 國家晶片系統設計中心, “Hspice Tutorial”
[7] C. Ebeling, “GeminiII: A Second Generation Layout Validation Tool”, Proceedings of the Conference on Computer Aided Design (ICCAD), pp.610-615, Nov 1988.
[8] C. Ebeling, and O. Zajicek, “Validating VLSI Circuit Layout by Wirelist Comparison”, Proceedings of the Conference on Computer Aided Design (ICCAD), pp. 172-173, 1993.
[9] M. Ohlrich, C. Ebeling, E. Ginting, and L. Sather, “SubGemini: Identifying Subcircuits Using a Fast Subgraph Isomorphism Algorithm”, Proceedings of Design Automation Conference, pp. 31-37, June 1993.
[10] Z. Ling, and D. Y. Y. Yun, “An Efficient Subcircuit Extraction Algorithm by Resource Management” , 2nd International Conference on ASIC, pp. 9-14, Oct 1996.
[11] W. H. Chang, S. D. Tzeng, and C. Y. Lee, “A Novel Subcircuit Extraction Algorithm by Recursive Identification Scheme”, 2001 IEEE International Symposium on Circuits and Systems, Volume: 5 , pp. 491 -494, 2001.
[12] L. Huang, T. Y. Jiang, J. Y. Jou, H.L. Huang, “An Efficient Logic Extraction Algorithm Using Partitioning and Circuit-Encoding,” in Proceeding International Symposium on Circuits and Systems, 2004
[13] N. P. Keng, and D. Y. Y. Yun, “A Planning /Scheduling Methodology for the Constrained Resource Problem”, Proceedings 1989 International Joint Conference on Artificial Intelligence, pp. 20-25, Aug 1989.
[14] M. Eick, “Structure and Signal Path Analysis for Analog and Digital Circuits”, Ph.D. Dissertation, Department Electrical Engineering and Information Technology., Technische Universitt München, München, Germany, 2013
[15] https://en.wikipedia.org/wiki/555_timer_IC
[16] 陳建宇, “基於迴歸分析之類比電路行為模型自動產生器”, 中央大學碩士論文, July 2015
[17] Y. J. Lin, M. J. Lee, Y. L. Lo, and S. Y. Kao, “Automatic Mixed-Signal Behavioral Model Generation Environment”, International Symposium on VLSI Design Automation and Test, April 2016
指導教授 周景揚(Jing-Yang Jou) 審核日期 2016-7-20
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明