博碩士論文 103523044 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:17 、訪客IP:3.21.248.119
姓名 李翊君(Yi-Jun Li)  查詢紙本館藏   畢業系所 通訊工程學系
論文名稱 以分群為基礎之3D無線與光學網路晶片頻道存取方法
(Group-based Channel Access Scheme for 3D Wireless and Optical Network-on-chip)
相關論文
★ 利用智慧天線系統實現精準室內定位技術★ 電力線通訊之競爭存取與路由方法設計與實現
★ 設計與實作基於GRAPES函式庫之P2P即時串流系統★ 利用離散餘弦基礎之聲音浮水印達到室內定位技術
★ 利用虛擬指紋建置法之智慧型天線系統實現精準室內定位技術★ 即時影像串流自適應播放系統之研究
★ 利用模糊邏輯控制器於蜂巢式網路降低位置管理機制成本★ 基於支持向量機及模糊推理之地震預警系統研製
★ 基於行動裝置之分散式多人會議系統★ 基於收前先聽LBR機制之授權型輔助接入LAA架構下於異質網路中暴露節點之研究
★ 支援跳頻之IEEE 802.15.4 ZigBee無線隨身網路機制設計與實現★ 應用於IEEE 802.16行動無線都會網路省電模式參數設定之智慧策略
★ IEEE 802.15.4 ZigBee 無線隨身網路高效能路由演算法分析與設計★ 應用於IEEE 802.16無線寬頻都會網路之具調適性自動重傳請求回報機制
★ 無線感測網路為基礎之空間平面圖自動建構之技術★ 隨機指定埠號對稱式網址轉換器穿透之研究
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 ( 永不開放)
摘要(中) 隨著科技的進步,根據摩爾定律所述,每18個月處理器的效能即為提高一倍。然而,受到物理的限制,在過去經由時脈提升來增加效能的方法已經到達瓶頸。因此,處理器開始由單核心架構轉為往多核心發展。隨著處理器核心數量的增加,傳統的匯流排架構成為多核心處理器中設計與效能的瓶頸。為了解決傳統單晶片架構的問題,將電腦網路中封包交換技術傳遞資料的方式帶入晶片網路架構的設計,而好處是使整體晶片的設計可以更具彈性。與此同時,伴隨無線射頻技術的進步,使得晶片內傳輸透過無線的方式變得成為可能,為下一代的晶片提供高速率、低延遲與低功耗的傳輸方式。隨著光學的進步,也開始於在晶片內加入了波導管的設計,使得晶片間通訊透過光學的方式來達到高速率的傳輸。

在本篇論文中,我們著重在研究二維與三維無線晶片網路架構中各處理器之多核心結構的無線多通道路由演算法,並延伸導入光學波導管的設計優化晶片效能。在基於分群(Group-Based)的方式,研究內部路由協定的設計和通道的分配使用,此方式讓晶片內部的核心進行封包資料的交換,目的在於透過分群的無線多通道使用與波導管方法進行設計,以期望能提升晶片整體效能。而在最後,我們使用 OMNeT++為基礎的網路晶片模擬器來模擬我們的方法。
摘要(英) As the technology progress, CPU performance doubles every 18 months. Now the development of VLSI is faced with physics limitation. For past years, CPU performance is increased by raising up CPU frequency. But by the effect of physics limitation, CPU frequency cannot be grown unlimitedly. Therefore, the number of cores on a chip is increased from single core to multi-core. As the number of cores on a chip grows, the computer network concept has been introduced for the architecture of network-on-chip (NoC). More specifically, NoC provides packet switching based communications in order to connect components on the chip. In the meantime, the introduction of RF (radio frequency) interconnect brings the new opportunity for high data rate, low latency and low power consumption for millimeter range on-chip communications for next chip generation.

In this thesis, we focus on the multi-channel wireless routing algorithm for the two-dimensional and/or three-dimensional NoC structures among processor cores and inducts the new waveguide design to enhance performance. We also study the design of internal routing protocols with channel allocation using Group-Based strategy. This design allows the chip cores to accomplish packet switching by means of using wireless multi-channel grouping and waveguide solution. Finally, we use OMNeT++ based NoC simulator to simulate the system performance.
關鍵字(中) ★ 無線路由演算法
★ 多通道
★ 分群方式
★ 三維晶片網路
★ 無線晶片網路
★ 光學晶片網路
關鍵字(英) ★ Wireless routing algorithm
★ Multichannel
★ Group-Based method
★ 3D Network-on-chip (NoC)
★ Wireless Network-on-chip (WNoC)
★ Optical Network-on-chip (ONoC)
論文目次 中文摘要 I
Abstract II
目錄 III
圖目錄 IV
表目錄 VI
第一章、 緒論 1
1-1 研究背景 1
1-2 研究動機與目的 1
1-3 論文架構 2
第二章、 技術概論 3
2-1 網路晶片 (Network-on-Chip,NoC) 3
2-2 無線網路骨幹 3
2-3 無線網路晶片 (Wireless Network-on-Chip,WNoC) 4
2-4 隱藏點問題 (Hidden Terminal Problem) 6
2-5 光學網路晶片 (Oprical Network-on-Chip,ONoC) 6
2-6 路由器死結問題 7
2-7 XYZ靜態路由 8
第三章、 研究方法與設計 10
3-1 系統硬體架構 10
3-2 二維無線網路晶片 (2D WNoC) 10
3-3 二維無線光學網路晶片 (Two Dimensional Wireless Optical Network-on-Chip,2D WONoC) 15
3-4 三維無線網路晶片 (3D WNoC) 17
3-5 三維無線光學網路晶片 (3D WONoC) 24
3-6 路由演算法 27
第四章、 研究模擬結果 35
4-1 模擬環境 35
4-1 模擬結果 37
第五章、 結論 39
第六章、 參考文獻 40
Abstract II
目錄 III
圖目錄 IV
表目錄 VI
第一章、 緒論 1
1-1 研究背景 1
1-2 研究動機與目的 1
1-3 論文架構 2
第二章、 技術概論 3
2-1 網路晶片 (Network-on-Chip,NoC) 3
2-2 無線網路骨幹 3
2-3 無線網路晶片 (Wireless Network-on-Chip,WNoC) 4
2-4 隱藏點問題 (Hidden Terminal Problem) 6
2-5 光學網路晶片 (Oprical Network-on-Chip,ONoC) 6
2-6 路由器死結問題 7
2-7 XYZ靜態路由 8
第三章、 研究方法與設計 10
3-1 系統硬體架構 10
3-2 二維無線網路晶片 (2D WNoC) 10
3-3 二維無線光學網路晶片 (Two Dimensional Wireless Optical Network-on-Chip,2D WONoC) 15
3-4 三維無線網路晶片 (3D WNoC) 17
3-5 三維無線光學網路晶片 (3D WONoC) 24
3-6 路由演算法 27
第四章、 研究模擬結果 35
4-1 模擬環境 35
4-1 模擬結果 37
第五章、 結論 39
第六章、 參考文獻 40
Abstract II
目錄 III
圖目錄 IV
表目錄 VI
第一章、 緒論 1
1-1 研究背景 1
1-2 研究動機與目的 1
1-3 論文架構 2
第二章、 技術概論 3
2-1 網路晶片 (Network-on-Chip,NoC) 3
2-2 無線網路骨幹 3
2-3 無線網路晶片 (Wireless Network-on-Chip,WNoC) 4
2-4 隱藏點問題 (Hidden Terminal Problem) 6
2-5 光學網路晶片 (Oprical Network-on-Chip,ONoC) 6
2-6 路由器死結問題 7
2-7 XYZ靜態路由 8
第三章、 研究方法與設計 10
3-1 系統硬體架構 10
3-2 二維無線網路晶片 (2D WNoC) 10
3-3 二維無線光學網路晶片 (Two Dimensional Wireless Optical Network-on-Chip,2D WONoC) 15
3-4 三維無線網路晶片 (3D WNoC) 17
3-5 三維無線光學網路晶片 (3D WONoC) 24
3-6 路由演算法 27
第四章、 研究模擬結果 35
4-1 模擬環境 35
4-1 模擬結果 37
第五章、 結論 39
第六章、 參考文獻 40
參考文獻 [1] Radu Marculescu, Umit Y. Ogras, Li-Shiuan Peh, Natalie Enright Jerger and Yatin Hoskote, "Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 28, 3-21, January 2009.
[2] M.-C. F. Chang, V. Roychowdhury, L. Zhang, H. Shin, and Y. Qian, "RF/wireless interconnect for inter- and intra-chip communications," Proceedings of The IEEE, vol. 89, no. 4, pp. 456-466, April 2001.
[3] B. A. Floyd, C.-M. Hung, and K. K. O, "Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters," IEEE Journal of Solid-State Circuits, vol. 37, no. 5, pp. 543-552, May 2002.
[4] K. Kimoto and T. Kikkawa, “Transmission characteristics of gaussian monocycle pulses for inter-chip wireless interconnections using integrated antennas," Japanese Journal of Applied Physics, vol. 44, no. 4B, pp. 2761-2765, April 2005.
[5] T. Kikkawa, P. K. Saha, N. Sasaki, and K. Kimoto, "Gaussian monocycle pulse transmitter using 0.18µm cmos technology with on-chip integrated antennas for inter-chip uwb communication," IEEE Journal of Solid-State Circuits, vol. 43, no. 5, pp. 1303–1312, May 2008.
[6] W. M. N. Sasaki, K. Kimoto and T. Kikkawa, "A single-chip ultra-wideband receiver silicon integrated antennas for inter-chip wireless interconnection," IEEE Journal of Solid-State Circuits, vol. 44, no. 2, pp. 382-393, February 2009.
[7] Dan Zhao, Yi Wang, Jian Li, Takamaro Kikkawa, “Design of Multi-Channel Wireless NoC to Improve On-Chip Communication Capacity”, Proceedings of the 2011 Fifth IEEE/ACM International Symposium on Networks on Chip (NoCS), pp. 177-184, July 2011.
[8] K. Kawasaki, Y. Akiyama, K. Komori, M. Uno, H. Takeuchi, T. Itagaki, Y. Hino, Y. Kawasaki, K. Ito, and A. Hajimiri, "A millimeter-wave intra-connect solution," in Digest of International Solid-State Circuits Conference, pp. 413-415, February 2010.
[9] International Technology Roadmap for Semiconductors: Semiconductor Industry 14 Association, 2006.
[10] S. B. Lee et al., "A scalable micro wireless interconnect structure for CMPs," in Proc. ACM Annu. Int. Con. Mobile Comput. Network. (Mo-biCom), pp. 217-228, 2009.
[11] D. DiTomaso et al., "iWise: Inter-router wireless scalable express chan-nels for Network-on-Chips (NoCs) architecture," in Proc. Annu. Symp. High Performance Interconnects, pp. 11-18, August 2011.
[12] S. Deb, A. Ganguly, P. Pande, D. Heo, and B. Belzer, "Wireless NOC as interconnection backbone for multicore chips: Promises and challenges," IEEE J. Emerg. Sel. Topics Circuits Syst., vol. 2, no. 2, pp. 228-239, June 2012.
[13] D. Zhao and Y. Wang, “SD-MAC: Design and Synthesis of a Hardware-efficient Collision-free QoS-aware MAC Protocol for Wireless Network-on-chip,” IEEE Transaction on Computer, vol. 57, no. 9, pp. 1230-1245, September 2008.
[14] Chifeng Wang, Wen-Hsiang Hu, Nader Bagherzadeh, “A Wireless Network-on-Chip Design for Multicore Platforms”, Proceedings of International Euromicro Conference on Parallel, Distributed and Network-Based Processing, pp. 409-416, February 2011.
[15] Amin Rezaei, Farshad Safaei, Masoud Daneshtalab, Hannu Tenhunen, “HiWA: A Hierarchical Wireless Network-on-Chip Architecture”, Proceedings of High Performance Computing & Simulation (HPCS), 2014 International Conference on IEEE, pp. 499-505, 2014.
[16] Wan-Chi Chang, Hsueh-Wen Tseng, Chin-Fu Kuo, “A Traffic-Balanced Routing Scheme for Heat Balance in 3D Networks-on-Chip”, Proceedings of SAC ′14 Proceedings of the 29th Annual ACM Symposium on Applied Computing, pp. 1437-1442, March 2014.
[17] Kun-Chih Chen,, Shu-Yen Lin, Hui-Shun Hung, An-Yeu (Andy) Wu, “Topology-Aware Adaptive Routing for Nonstationary Irregular Mesh in Throttled 3D NoC Systems”, Proceedings of IEEE Transactions on Parallel and Distributed Systems,Vol. 24, no. 10, pp. 2109-2120, October 2013.
[18] Dominic DiTomaso, Avinash Kodi, David Matolak, Savas Kaya, Soumyasanta Laha, and William Rayess, “Energy-efficient Adaptive Wireless NoCs Architecture”, Proceedings of Networks on Chip (NoCS), 2013 Seventh IEEE/ACM International Symposium on. IEEE, 2013, pp. 1-8, April 2013.
[19] Md Shahriar Shamim, Aniket Mhatre, Naseef Mansoor, Amlan Ganguly, Gill Tsouri, “Temperature-aware Wireless Network-on-Chip Architecture”, Proceedings of Green Computing Conference (IGCC), 2014 International, pp. 1-10, November 2014.
[20] S.J. Koester, G. Dehlinger, J.D. Schaub, J.O. Chu, Q.C. Ouyang, and A. Grill. Germanium-on-Insulator Photodetectors. In 2nd IEEE International Conference on Group IV Photonics, pages 171-173, 2005.
[21] Se ́bastien Le Beux, Jelena Trajkovic, Ian O ́ Connor, Gabriela Nicolescu, Guy Bois, and Pierre Paulin. “Optical Ring Network-on-Chip (ORNoC): Architecture and Design Methodology. " In Proceedings of the conference on Design, Automation and Test in Europe, DATE, pp. 1-6, March2011.
[22] M. A. Khan, A. Q. Ansari., " Quadrant-Based XYZ Dimension Order Routing Algorithm for 3-D Asymmetric Torus Networ-on-Chip," Proceedings of the in Networks and Computer Communications(ETNCC), pp. 121-124, April 2011.
[23] Masoumeh Ebrahimi, Xin Chang, Masoud Daneshtalab., " DyXYZ: Fully Adaptive Routing Algorithm for 3D NoCs," Proceedings of 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, pp.499-503, March 2013.
[24] M.-C. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong and Glenn Reinman, "RF Interconnects for Communications On-chip," Proceedings of ISPD ′08 Proceedings of the 2008 international symposium on Physical design, pp. 191-202, February 2008.
[25] Ashif Iqbal Sikder, Avinash Kodi, Matthew Kennedy, Savas Kaya and Ahmed Louri, “OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures,” 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects, pp. 44-51, August 2015.
[26] A. Varga et al. ,"The OMNeT++ discrete event simulation system", In Proc. of the European Simulation Multiconference (ESM’2001), pp. 319-324, 2001.
[27] Y. Ben-Itzhak, E. Zahavi, I. Cidon, and A. Kolodny, "NoCs simulation framework for OMNeT++", in Proc. of NOCS, pp.265-266, May 2011.
[28] Jenhui Chen and Peng Dai, "Multicast Transmission with Energy-Proportional Power-Gating Scheme for Wireless Interconnects NoC", 2015 IEEE Globecom Workshops (GC Wkshps), pp.1-6, December 2011.
[29] S. Deb, et al., “Design of an Energy Efficient CMOS Compatible NoC Architecture with MillimeterWave Wireless Interconnects,” IEEE Trans. Comput., vol. 62, no. 12, pp. 2382-2396, Dec. 2013.
[30] A. Ganguly, K. Chang, S. Deb, P. P. Pande, et al., “Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems,” IEEE Trans. Comput., vol. 60, no. 10, pp. 1485-1502, Oct. 2011.
[31] V. F. Pavlidis, E. G. Friedman, “3-D Topologies for Networks-on-Chip,” IEEE Trans. VLSI Syst., vol. 15, no. 10, pp. 1081-1090, Oct. 2007.
[32] A. Shacham, K. Bergman, L. P. Carloni, “Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors,” IEEE Trans. Computer, vol. 57, no. 9, pp. 1246-1260, Sept. 2008.
[33] M. F. Chang, J. Cong, A. Kaplan, et al., “CMP Network-on-Chip Overlaid With Multi-band RF-Interconnect,” in Proc. IEEE HPCA’08, Salt Lake City, UT, pp. 191-202, Feb. 2008.
[34] H.Takagi and L. Kleinrock, “Throughput Analysis for Persistent CSMA Systems,” IEEE Trans. Commun., vol. 33, no. 7, pp. 627-638, July 1985.
[35] A. B. Kahng, B. Li, L.-S. Peh, and K. Samadi, “ORION 2.0: A Power-Area Simulator for Interconnection Networks,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst. vol. 20, no. 1, pp. 191-196, Jan. 2012.
[36] H.-S. Wang, X. Zhu, L.-S. Peh, and S. Malik, “Orion: A Power- Performance Simulator for Interconnection Networks,” in Proc. IEEE/ACM MICRO’2002, Istanbul, Turkey, pp. 294-305, Nov. 2002.
[37] Peng Dai, Jenhui Chen, Yiqiang Zhao and Yen-Han Lai, “A study of a wire–wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency,” in Proc. Computers & Electrical Engineering, vol. 45, no. 1, pp. 402-416, July 2015.
[38] Tavakoli E, Tabandeh M, Kaffash S, Raahemi B. “Multi-hop communications on wireless network-on-chip using optimized phased-array antennas,” Comput Electr Eng 2013, vol. 39, no. 7, pp. 2068-2085, October 2013.
[39] Yu X, Sah SP, Deb S, Pande PP, Belzer B, Deukhyoun H. “A wideband body-enabled millimeter-wave transceiver for wireless network-on-chip,” In: Proc IEEE MWSCAS’2011, Seoul, Korea, pp. 1-4, 2011.
[40] Benini L, Micheli GD. “Networks on chips: a new SoC paradigm,” Computers, vol. 35, no. 1, pp. 70-78, August 2002.
[41] Samman F, Hollstein T, Glesner M. “Adaptive and deadlock-free tree-based multicast routing for networks-on-chip,” IEEE Trans VLSI 2010, vol. 18, no. 7, pp. 1067-1080, July 2010.
[42] Samman F, Hollstein T, Glesner M. “New theory for deadlock-free multicast routing in wormhole-switched virtual-channelless networks-on-chip,” IEEE Trans Parallel Distrib Syst 2011, vol. 22, no. 4, pp. 544-557, February 2011.
[43] Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, Flich J, Tenhunen H. “Path-based partitioning methods for 3d networks-on-chip with minimal adaptive routing,” IEEE Trans Comput 2014, vol. 63, no. 3, pp. 718-733, March 2014.
[44] Pavlidis VF, Friedman EG. “3-D topologies for networks-on-chip,” In: Proc. IEEE int’l SoC conf., Taipei, Taiwan, p. 285–288, 2006.
[45] Lee S-E, Bagherzadeh N. “A high level power model for Network-on-Chip (NoC) router,” Comput Electr Eng 2009, vol. 35, no. 6, pp. 837-845, November 2009.
[46] Boppana RV, Chalasani S, Raghavendra CS. “Resource deadlocks and performance of wormhole multicast routing algorithms,” IEEE Trans Parallel Distrib Syst, vol. 9, no. 6, pp. 535-549, August 1998.
[47] D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn, “Corona: System implications of emerging nanophotonic technology,” in ACM SIGARCH Computer Architecture News, IEEE Computer Society, vol. 36, no. 3, pp. 153–164, 2008.
[48] Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary, “Firefly: illuminating future network-on-chip with nanophotonics,” in ACM SIGARCH Computer Architecture News, vol. 37, no. 3, pp. 429–440, 2009.
[49] G. Kurian, J. E. Miller, J. Psota, J. Eastep, J. Liu, J. Michel, L. C. Kimer- ling, and A. Agarwal, “Atac: a 1000-core cache-coherent processor with on-chip optical network,” in Proceedings of the 19th international con- ference on Parallel architectures and compilation techniques, pp. 477–488, 2010.
[50] V. Stojanovic, A. Joshi, C. Batten, Y.-J. Kwon, and K. Asanovic, “Many- core processor networks with monolithic integrated cmos photonics,” in Conference on Lasers and Electro-Optics. Optical Society of America, p. 1-2, 2009.
[51] C. Sun, C.-H. Chen, G. Kurian, L. Wei, J. Miller, A. Agarwal, L.-S. Peh, and V. Stojanovic, “Dsent-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling,” in Networks on Chip (NoCS), 2012 Sixth IEEE/ACM International Symposium on. IEEE, pp. 201-210, 2012.
[52] A. Kodi and A. Louri, “A system simulation methodology of optical interconnects for high-performance computing systems,” J. Opt. Netw, vol. 6, no. 12, pp. 1282-1300, 2007.
指導教授 許獻聰(Shiann-Tsong Sheu) 審核日期 2016-7-29
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明