博碩士論文 107521001 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:10 、訪客IP:3.147.73.35
姓名 蘇正瑋(Cheng-Wei Su)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 考慮後段製程連線及佈局優化之積層型三維靜態隨機存取記憶體
(Multi-tier Monolithic 3D SRAM Considering BEOL Interconnect and Layout Optimization)
相關論文
★ 積層型三維邏輯電路之性能分析★ 無接面鐵電場效電晶體與量測模式對增強極化之影響
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨著製程技術的演進、EUV(Extreme ultraviolet lithography)的引進,使電晶體可以進一步微縮,並讓晶片上可以容納更多的電晶體,與此同時,內部繞線(Interconnect)的結構也勢必變小,因此增加了後段製程(BEOL)的金屬電阻值,這樣的情況會使電路的特性變差。因此,本論文利用積層型三維(Monolithic 3D)堆疊技術,設計三維的靜態隨機存取記憶體(Static Random Access Memory, SRAM)電路佈局來改善其特性。
本論文主要探討的電路是SRAM,利用TCAD的Mixed-Mode及內部導線模型(π-3 Model)來分析SRAM的電路特性。論文內容分為三個主題,第一部分會探討先進技術節點下內部導線的微縮對SRAM電路所產生的影響,研究結果顯示內部導線電阻值的增加會延長傳輸訊號的時間使SRAM電路特性有顯著的退化。第二部分,我們利用Transistor-Level積層型三維堆疊來設計SRAM,Transistor-Level積層型三維堆疊是將P型跟N型電晶體製作在不同的平面上,可以調整製作流程並獨立優化電晶體特性,不但能縮小SRAM的單元(cell)面積也能減少內部導線的繞線長度,使字元線及位元線的電阻電容值降低,考慮在一/二/三層的積層型三維SRAM的佈局下,分析SRAM cell的讀取存取時間(Read access time)、寫入時間(Time-to-write)、動態能量消耗及能量延遲積。
第三部分,我們探討未來具有潛力的通道材料—二維材料,分析不同閘極位置的二氧化鉬場效電晶體及積層型三維堆疊的6T SRAM佈局優化,再比較由背閘極式場效電晶體組成的積層型三維SRAM的特性,由分析結果可得知三層積層型三維SRAM與一層相比可以改善28.4%讀取存取時間、21.3%動態能量及43.6%能量延遲積,並增強寫入的穩定度。本篇論文提出的高能量效率背閘極式三層積層型三維SRAM,具有更好的潛力應用在邊緣運算裝置中。
摘要(英) With the evolution of process technology and the introduction of EUV, transistors can be scaled down and more transistors can be accommodated on the wafer. Continued scaling of the transistor and metal interconnection geometry is accompanied by the increased wire routing resistance which degrades the circuit performance. Therefore, the thesis uses monolithic 3D stacking technology to design a three-dimension SRAM circuit layout to improve SRAM characteristics.
The thesis mainly explores static random access memory, we analyze SRAM performance by using TCAD coupled with the interconnect π-3 model. In the first part, we discuss the impact of scaled interconnect metal on SRAM circuits in advanced technology nodes. The research results show that increased back-end of line metal resistance prolongs the signal transmission time and degrades SRAM performance significantly.
In the second part, we design SRAM with transistor-level monolithic 3D integration. In transistor-level M3D design, p-type and n-type transistors are fabricated on different layers. Thus, PMOS and NMOS devices can be optimized separately. It can reduce not only the SRAM cell area, but also interconnect lengths that makes wire routing resistance and capacitance low. And we analyze the cell area, read access time, time-to-write, and energy efficiency in 1-tier, 2-tier, and 3-tier monolithic 3D SRAM cell.
In the third part, we discuss potential channel materials—2D material and comprehensively analyze gate topology of MoS2 FETs, and the layout optimization of multi-tier 6T SRAM cells. Compared to the multi-tier back-gated(BG) SRAM cell designs, the monolithic 3-tier BG SRAM cell shows 28.4% read access time, 21.3% dynamic energy, and 43.6% energy-delay product improvements over 1-tier BG SRAM and enhance the write stability. The energy- and area-efficient 3-tier BG SRAM cell enables intelligent functionalities for the area and energy-constrained edge computing devices.
關鍵字(中) ★ 積層型三維堆疊
★ 二維材料
★ 後段製程
★ 靜態隨機存取記憶體
★ 能量效率
關鍵字(英) ★ Monolithic 3D integration
★ 2D material
★ back-end-of-the-line (BEOL)
★ SRAM
★ energy efficiency
論文目次 摘要 I
Abstract III
致謝 V
目錄 VI
圖目錄 IX
表目錄 XIV
第一章 導論 1
1.1 背景與相關研究 1
1.1.1 內部導線 4
1.1.2 積層型三維結構 (Monolithic 3D) 6
1.1.3 二維材料 8
1.2 研究動機 11
1.3 論文架構 13
第二章 內部導線電阻電容值對靜態隨機存取記憶體之影響 14
2.1 前言 14
2.2 6T 靜態隨機存取記憶體介紹 15
2.3 內部導線模型(Interconnect model) 17
2.4 元件結構與模擬參數 21
2.5 靜態隨機存取記憶體單元性能分析 23
2.5.1 記憶體讀取存取時間分析 27
2.5.2 記憶體寫入時間分析 33
2.6 靜態雜訊邊界(Static Noise Margin) 35
2.7 結論 39
第三章 分析積層型三維靜態隨機存取記憶體 40
3.1 前言 40
3.2 元件結構與模擬參數 41
3.3 佈局中內部導線的電阻電容 42
3.4 比較傳統靜態隨機記憶體與積層型三維堆疊靜態隨機存取記憶體 43
3.4.1 單元面積(Cell area) 43
3.4.2 記憶體讀取存取時間及寫入時間分析 45
3.5 WSNM改善 51
3.6 動態能量消耗與能量延遲積的比較 52
3.7 結論 54
第四章 二維材料場效電晶體應用於積層型三維靜態隨機存取記憶體 55
4.1 前言 55
4.2 元件結構與相關參數 56
4.3 佈局設計 59
4.4 背閘極式靜態記憶體特性分析 61
4.4.1 靜態雜訊邊界 61
4.4.2 一層與三層背閘極式靜態隨機存取記憶體性能分析 62
4.4.3 WSNM及能量的改善 65
4.5 結論 66
第五章 總結 67
參考文獻 69
參考文獻 [1] H. M. Fahad and M. M. Hussain "Are Nanotube Architectures More Advantageous Than Nanowire Architectures For Field Effect Transistors?" Sci. Rep. 2, No. 475, 2012.
[2] C. C. Wu et al., "High performance 22/20nm FinFET CMOS devices with advanced high-K/metal gate scheme," 2010 International Electron Devices Meeting, San Francisco, CA, 2010, pp. 27.1.1-27.1.4.
[3] J. A. Smith et al., "Investigation of electrically gate-all-around hexagonal nanowire FET (HexFET) architecture for 5 nm node logic and SRAM applications," 2017 47th European Solid-State Device Research Conference (ESSDERC), Leuven, 2017, pp. 188-191.
[4] G. Yeap, "Smart mobile SoCs driving the semiconductor industry: Technology trend, challenges and opportunities." In Proceedings of the Electron Devices Meeting (IEDM), 2013 IEEE International, Washington, DC, USA, 9–11 December 2013; pp. 1–3.
[5] I.R. Committee, "International Technology Road map for Semiconductors, " 2020 Edition. Semiconductor Industry Association.
[6] H. Fujiwara et al., "24.2 A 7nm 2.1GHz Dual-Port SRAM with WL-RC Optimization and Dummy-Read-Recovery Circuitry to Mitigate Read- Disturb-Write Issue,"2019 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2019, pp. 390-392.
[7] T. Song et al., "A 14 nm FinFET 128 Mb SRAM With Vmin Enhancement Techniques for Low-Power Applications," in IEEE Journal of Solid-State Circuits, vol. 50, no. 1, pp. 158-169, Jan. 2015.
[8] S. M. Salahuddin, K. A. Shaik, A. Gupta, B. Chava, M. Gupta, P. Weckx, J. Ryckaert and A. Spessot,"SRAM With Buried Power Distribution to Improve Write Margin and Performance in Advanced Technology Nodes," in IEEE Electron Device Letters, vol. 40, no. 8, pp. 1261-1264, Aug. 2019. 
[9] Z. Guo, D. Kim, S. Nalam, J. Wiedemer, X. Wang and E. Karl, "A 23.6Mb/mm2 SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for low-voltage applications," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018.
[10] T. Irisawa et al., "Demonstration of InGaAs/Ge Dual Channel CMOS Inverters with High Electron and Hole Mobility Using Staked 3D Integration," in VLSI Symp. Tech. Dig., 2013, pp. 56-57.
[11] P. Batude et al., "3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS, " IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 2, no. 4, December 2012.
[12] Paul Besser, "BEOL Interconnect Innovations for Improving Performance", NCCAVS Symposium in San Jose, 2020.
[13] C. Shen et al., "Monolithic 3D chip integrated with 500ns NVM, 3ps logic circuits and SRAM," 2013 IEEE International Electron Devices Meeting, Washington, DC, 2013, pp. 9.3.1-9.3.4.
[14] S. Panth, S. Samal, Y. S. Yu and S. K. Lim, "Design challenges and solutions for ultra-high-density monolithic 3D ICs," 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Millbrae, CA, 2014, pp. 1-2.
[15] J. Kang, W. Cao, X. Xie, D. Sarkar, W. Liu, and K. Banerfee, “Graphene and beyond-graphene 2D crystals for next-generation green electronics” SPIE, 2014, no. 908305.
[16] A. B. Sachid, M. Tosun, S. B. Desai, C. Y. Hsu, D.-H. Lien, S. R. Madhvapathy, Y.-Z. Chen, M. Hettick, J. S. Kang, Y. Zeng, J.-H. He, E. Y. Chang, Y.-L. Chueh, A. Javey, and C. Hu, “Monolithic 3D CMOS using layered semiconductors,” in Advanced Materials, vol. 28, pp. 2547-2554, Feb. 2015.
[17] C.-C. Yang, K.-C. Chiu, C.-T. Chou, C.-N. Liao, M.-H. Chuang, T.-Y. Hsieh, W.-H. Huang, C.-H. Shen, J.-M. Shieh, W.-K. Yeh, Y.-H. Chen, M.-C. Wu, and Y.-H. Lee, “Enabling monolithic 3D image sensor using large-area monolayer transition metal dichalcogenide and logic/memory hybrid 3D+IC,” in Proc. Symp. VLSI Tech., June 2016, pp 1-2.
[18] J. Jiang, K. Parto, W. Cao, and D. Banerfee, “Ultimate monolithic-3D integration with 2D materials: rationale, prospects and challenges,” in IEEE Journal of the Electron Devices Society, vol.7, pp. 878-887, 2019.
[19] W. Cao, W. Liu, J. Kang, and K. Banerfee, “An ultra-short channel monolayer MoS2 FET defined by the curvature of a thin nanowire,” in IEEE Electron Device Letters, vol. 37, pp. 1497, 2016.
[20] S. Mouri, Y. Miyauchi, and K. Matsuda, "Tunable Photoluminescence of Monolayer MoS2 via Chemical Doping, " in Nano Letters 2013, 13, 5944–5948.
[21] M. Clinton, R. Singh, M. Tsai, S. Zhang, B. Sheffield and J. Chang, "A 5GHz 7nm L1 cache memory compiler for high-speed computing and mobile applications," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 200-201.
[22] J. Chang et al., "12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications," 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2017, pp. 206-207.
[23] T. Song et al., "A 7nm FinFET SRAM using EUV lithography with dual write-driver-assist circuitry for low-voltage applications," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 198-200.
[24] Sentaurus TCAD, O-2018-6 Manual
[25] T. Sakurai, "Approximation of wiring delay in MOSFET LSI," in IEEE Journal of Solid-State Circuits, vol. 18, no. 4, pp. 418-426, Aug. 1983.
[26] Soon-Moon Jung et al., "Highly cost effective and high performance 65nm S3 (stacked single-crystal Si) SRAM technology with 25F2, 0.16um2 cell and doubly stacked SSTFT cell transistors for ultra high density and high speed applications," Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005., Kyoto, Japan, 2005, pp. 220-221.
[27] K. Yu, M. Fan, P. Su and C. Chuang, "Evaluation of Monolithic 3-D Logic Circuits and 6T SRAMs With InGaAs-n/Ge-p Ultra-Thin-Body MOSFETs," in IEEE Journal of the Electron Devices Society, vol. 4, no. 2, pp. 76-82, March 2016.
[28] I.R. Committee, "International Technology Road map for Semiconductors, " 2017 Edition. Semiconductor Industry Association.
[29] I. Ciofi et al., "Impact of Wire Geometry on Interconnect RC and Circuit Delay," in IEEE Transactions on Electron Devices, vol. 63, no. 6, pp. 2488-2496, June 2016.
[30] A. Karandikar and K. K. Parhi, "Low power SRAM design using hierarchical divided bit-line approach," Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273), Austin, TX, USA, 1998, pp. 82-88.
[31] C.-H. Yu, M.-L. Fan, K.-C. Yu, V. P.-H. Hu, Pin Su, and C.-T. Chuang, "Evaluation of Monolayer and Bilayer Two-Dimensional Transition Metal Dichalcogenide (TMD) Devices for SRAM Applications," IEEE Transactions on Electron Devices, vol. 63, no. 2, pp. 625-630, February 2016.
[32] A. B. Sachid, M. Tosun, S. B. Desai, C. Y. Hsu, D.-H. Lien, S. R. Madhvapathy, Y.-Z. Chen, M. Hettick, J. S. Kang, Y. Zeng, J.-H. He, E. Y. Chang, Y.-L. Chueh, A. Javey, and C. Hu, “Monolithic 3D CMOS using layered semiconductors,” Adv. Mater., vol. 28, pp. 2547-2554, Feb. 2015.
[33] C.-C. Yang, K.-C. Chiu, C.-T. Chou, C.-N. Liao, M.-H. Chuang, T.-Y. Hsieh, W.-H. Huang, C.-H. Shen, J.-M. Shieh, W.-K. Yeh, Y.-H. Chen, M.-C. Wu, and Y.-H. Lee, “Enabling monolithic 3D image sensor using large-area monolayer transition metal dichalcogenide and logic/memory hybrid 3D+IC,” in Proc. Symp. VLSI Tech., June 2016, pp 1-2.
[34] J. Jiang, K. Parto, W. Cao, and D. Banerjee, “Ultimate monolithic-3D integration with 2D materials: rationale, prospects and challenges,” in the IEEE Journal of the Electron Devices Society, vol.7, pp. 878-887, 2019.
[35] W. Cao, J. Jiang, X. Xie, A. Pal, J. H. Chu, J. Kang, and K. Banerjee, "2-D Layered Materials for Next-Generation Electronics: Opportunities and Challenges," in IEEE Transactions on Electron Devices, vol. 65, no. 10, pp. 4109-4121, Oct. 2018.
[36] Liu, Y., Guo, J., Zhu, E. et al, “Approaching the Schottky–Mott limit in van der Waals metal–semiconductor junctions,” Nature, vol. 557, pp. 696–700, May 2018.
[37] Y. T. Tang, K. S. Li, L. J. Li, M. Y. Li, C. H. Lin, Y.-J. Chen, C. C. Chen, C. J. Su, B. W. Wu, C. S. Wu, M. C. Chen, J. M. Shieh, W. K. Yeh, P. C. Su, T. Wang, F. L. Yang and C. Hu, “A Numerical Study of Si-TMD Contact with n/p Type Operation and Interface Barrier Reduction for Sub-5 nm Monolayer MoS2 FET”, IEEE International Electron Devices Meeting (IEDM), 2016, pp. 14.3.1–14.3.4.
[38] W. Lan, P.-C. Chen, Y.-Y. Lin, M.-Y. Li, L.-J. Li, Y.-L. Tu, F.-L. Yang, M.-C. Chen and K.-S. Li, “Scalable fabrication of a complementary logic inverter based on MoS2 fin-shaped field effect transistors,” Nanoscale Horizons, 2019, 4, 683.
[39] W. Cao, W. Liu, J. Kang, and K. Banerjee, “An ultra-short channel monolayer MoS2 FET defined by the curvature of a thin nanowire,” in IEEE Electron Device Letters, vol. 37, pp. 1497, 2016.
[40] L. Yu, D. El-Damak, U. Radhakrishna, X. Ling, A. Zubair, Y. Lin, Y. Zhang, M.-H. Chuang, Y.-H. Lee, D. Antoniadis, J. Kong, A. Chandrakasan, and T. Palacios, “Design, modeling, and fabrication of chemical vapor deposition grown MoS2 circuits with E-mode FETs for large-area electronics,” in Nano Letters 2016, 16, 10, 6349-6356.
[41] S. Chuang, C. Battaglia, A. Azcatl, S. McDonnell, J. S. Kang, X. T. Yin, M. Tosun, R. Kapadia, H. Fang, R. M. Wallace, and A. Javey, “MoS2 P-type Transistors and Diodes Enabled by High Work Function MoOx Contacts,” in Nano Letters 2014 14 (3), 1337-1342. 
[42] Y. T. Tang, K. S. Li, L. J. Li, M. Y. Li, C. H. Lin, Y.-J. Chen, C. C. Chen, C. J. Su, B. W. Wu, C. S. Wu, M. C. Chen, J. M. Shieh, W. K. Yeh, P. C. Su, T. Wang, F. L. Yang and C. Hu, “A Numerical Study of Si-TMD Contact with n/p Type Operation and Interface Barrier Reduction for Sub-5 nm Monolayer MoS2 FET”, IEEE International Electron Devices Meeting (IEDM), 2016, pp. 14.3.1–14.3.4.
[43] Liu, Y., Guo, J., Zhu, E. et al, “Approaching the Schottky–Mott limit in van der Waals metal-semiconductor junctions,” Nature, vol. 557, pp. 696–700, May 2018.
[44] V. P. -H. Hu et al., "Energy-Efficient Monolithic 3-D SRAM Cell With BEOL MoS2 FETs for SoC Scaling," in IEEE Transactions on Electron Devices, vol. 67, no. 10, pp. 4216-4221, Oct. 2020.
[45] Q. Smets, G. Arutchelvan, J. Jussot, D. Verreck, I. Asselberghs, A. N. Mehta, A. Gaur, D. Lin, S. E. Kazzi, B. Groven, M. Caymax, and I. Radu, “Ultra-scaled MOCVD MoS2 MOSFET with 42 nm contact pitch and 250 A/m drain current,” in IEDM Tech Dig., Dec. 2019, pp. 23.2.1-23.2.4.
[46] L. Yang, R.T.P. Lee, S.S. Papa Rao, W. Tsai, and P.D. Ye, “10nm nominal channel length MoS2 FETs with EOT 2.5 nm and 0.52 mA/m drain current,” in 2015 73rd Annual Device Research Conference (DRC), June 2015, pp. 237-238.
[47] A. Nourbakhsh, A. Zubair, A. Tavakkoli, R. Sajjad, X. Ling, M. Dresselhaus, J. Kong, K. K. Berggren, D. Antoniadis, and T. Palacios, “Serially connected monolayer MoS2 FETs with channel patterned by a 7.5 nm resolution directed self-assembly lithography,” in Proc. Symp. VLSI Tech., June 2016, pp. 1-2
[48] International Roadmap for Devices and Systems (2018). [Online]. Available: https://irds.ieee.org/
[49] I. Ciofi, P. J. Roussel, Y. Saad, V. Moroz, C.-Y. Hu, R. Baert, K. Croes, A. Contino, K. Vdandersmissen, W. Gao, P. Matagne, M. Badaroglu, C. J. Wilson, D. Mocuta, and Z. Tökei, “Modeling of via resistance for advanced technology nodes,” in IEEE Trans. Electron Devices, vol. 64, no. 5, pp. 2306-2313, May 2017. 
[50] K. Han, A. B. Kahng, H. Lee, and L. Wang, “Performance- and energy-aware optimization of BEOL interconnect stack geometry in advanced technology nodes,” in International Symposium on Quality Electronic Design (ISQED), Mar. 2017, pp. 104-110.
[51] D. Bhattacharya and N. K. Jha, "Ultra-High Density Monolithic 3-D FinFET SRAM With Enhanced Read Stability," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 63, no. 8, pp. 1176-1187, Aug. 2016.
[52] K.-I. Seo et al., "A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI," 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, 2014, pp. 1-2.
[53] S. Wu et al., "A 7nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027um2 high density 6-T SRAM cell for mobile SoC applications," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 2.6.1-2.6.4.
[54] W. Liu, J. Kang, W. Cao, D. Sarkar, Y. Khatanmi, D. Jena, and K. Banerjee, “High-performance few-layer-MoS2 field-effect-transistor with record low contact-resistance,” in IEDM Tech. Dig., Dec. 2013, pp. 499–502.
指導教授 胡璧合 李依珊(Vita Pi-Ho Hu Yi-Shan Lee) 審核日期 2021-1-20
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明