博碩士論文 107521028 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:9 、訪客IP:18.118.120.109
姓名 何敦義(Tun-Yi Ho)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於記憶邏輯運算之非揮發性鐵電場效電晶體記憶體
(Ferroelectric FET Non-Volatile Memory for Logic-in-Memory Applications)
相關論文
★ 超薄層異質通道場效電晶體及單石三維靜態隨機存取記憶體考慮負交疊設計之研究★ 負電容場效電晶體之微縮與變異度分析
★ 利用線穿隧及非均勻通道厚度提升三五族 穿隧場效電晶體性能之研究★ 鐵電場效電晶體記憶體之穩定度及性能分析
★ 分析負電容堆疊式環繞閘極場效電晶體之特性及負電容鰭式場效電晶體之隨機電報雜訊★ 提升負電容穿隧場效電晶體效能之最佳化設計
★ 使用分離式閘極之高能量效率非揮發性鐵電場效電晶體記憶體★ 研究製程變異度對負電容場效電晶體與電路的類比性能之影響
★ 考慮後段製程連線及佈局優化之積層型三維靜態隨機存取記憶體★ 鐵電場效電晶體記憶體考慮金屬功函數變異度之分析
★ 應用於非揮發性鐵電靜態隨機存取記憶體之變異容忍性召回操作★ 分析與設計低電壓操作之非揮發性鐵電場效電晶體記憶體
★ 高密度 4T 與 6T 低溫鰭式場效電晶體靜態隨機存取記憶體★ 積層型三維邏輯電路之性能分析
★ 無接面鐵電場效電晶體與量測模式對增強極化之影響
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨著人工智慧(Artificial Intelligence)與物聯網(Internet of Things)的蓬勃發展,傳統的記憶體由於記憶端(Memory)與處理端(Process)的低資料傳輸量與高功耗影響,且處理端的運算速度大於記憶端的讀寫速度,進而有馮諾伊曼瓶頸(Von Neumann Bottleneck)的現象發生。近年來,二氧化鉿(HfO2)快速發展在鐵電材料的應用,夾層為二氧化鉿的鐵電場效電晶體有效緩解該瓶頸,藉由記憶體單元內邏輯運算的特性來消除數據移動上能量與時間消耗。
第一部分利用鐵電場效電晶體(Ferroelectric FET, FeFET)結構,使用TCAD軟體並結合穩態的Preisach方程式模擬出記憶體視窗(Memory Window)特性,邏輯組合輸入於閘極端,分別為鐵電層極化的寫入與讀取電壓高低狀態,判別出電流的高低值,並藉由源極(Source)與背閘極(Back-Gate)電壓造成記憶體視窗(正反掃Ids-Vgs圖形)左右平移,最後由上拉電路轉換為輸出電壓值,並成功實現NOR與NAND邏輯閘特性。我們全面且量化的探討NOR與NAND邏輯閘的設計空間(Design Space),並加入鐵電參數的變異度去深入分析,可發現在NOR與NAND邏輯閘特性中,使用背閘極電壓有較廣的設計空間。接著由最佳化設計值的源極與背閘極電壓在NAND邏輯閘去分析其延遲時間(Propagation Delay)、功率延遲積(Power Delay Product)與能量延遲積(Energy Delay Product),研究結果發現最佳化背閘極在延遲時間、功率延遲積和能量延遲積都有較佳的改善優勢。
第二部分使用分離式閘極鐵電場效電晶體(Split-Gate FeFET)去實現出NOR、NAND與XNOR邏輯閘。分離式閘極鐵電場效電晶體有兩個閘極,一個閘極給予定值的電壓使記憶體視窗(正反掃Ids-Vgs圖形)能夠左右平移,而另一個閘極藉由鐵電層極化寫入與讀取電壓高低狀態,去實現NOR與NAND邏輯閘,與FeFET使用的源極與背閘極電壓參數調變方式不同,透過閘極調變全面且量化的分析設計空間。分離式閘極鐵電場效電晶體去實現AOI (AND-OR-INVERTER)邏輯電路,其中包含NAND、NOT與NOR特性實現。相較於傳統的AOI邏輯電路,透過分離式閘極鐵電場效電晶體來取代第一級的NAND,能使總電晶體數目減少。並且在兩顆FeFET串聯實現XNOR邏輯中,單顆分離式閘極鐵電場效電晶體亦能實現XNOR邏輯閘,由分析結果可得知分離式閘極鐵電場效電晶體的寫入電壓低於前者,可有效降低功率消耗,改善能量效率。

關鍵字:鐵電材料、馮諾伊曼瓶頸、鐵電場效電晶體、分離式閘極鐵電場效電晶體、非揮發性記憶體、記憶體視窗、記憶邏輯運算、變異度、設計空間
摘要(英) With the successive development of Artificial Intelligence (AI) and Internet of Thing (IoT), the communication between memory and processing units becomes a serious challenge due to high power consumption and low data throughput. In general, the processing speed is faster than the read/write speed of the memory, which leads to the Von Neumann bottleneck. Moreover, with the recent discovery of ferroelectricity in HfO2, the novel ferroelectric FET (FeFET) based non-volatile memory is alleviated the Von Neumann bottleneck by computing inside the logic memory units and eliminating the energy-intensive and time-consuming data movement.
In the first part, TCAD simulations for FeFET are coupled with Preisach model, and then we analyze and simulate the characteristic of memory window. The two inputs used to perform the logic functionality of the FeFET can be obtained through ferroelectric polarization state and gate voltage, whereas the potential at source and back-gate are utilized to shift the transfer characteristics towards higher (or lower) gate bias to obtain all the logic states corresponding to input combinations. The circuit used to perform NOR or NAND logic operation consists of single FeFET with a pull up resistor in series. The functionality of pull-up resistor is to transform the current state to the output voltage. It is shown that NOR and NAND logic functionality can be realized with 1T FeFET, and then we can analyze the design space comprehensively and quantitatively by applying source and back-gate voltage. We also analyze the impact of ferroelectric parameter variations on the design space, and we can know the back-gate voltage providing wider design space in the nominal and ferroelectric variations. The comparisions of propagation delay, power delay product (PDP), and energy delay product (EDP) of FeFET NAND logic gate with optimal source and back-gate voltage have been analyzed. The optimal back-gate voltage shows improvement in delay time, PDP, and EDP with optimal source voltage.
In the second part, we use split-gate FeFET to achieve NOR, NAND, and XNOR logic functionality. In contrast to single gate FeFET, split-gate FeFET uses two front gates to achieve the logic operation. One gate is fixed at constant voltage to shift the Ids-Vgs curve towards lower (or higher) gate bias, and another gate is provided with ferroelectric polarization state and gate voltage as the inputs. This method achieves NOR and NAND logic function by modulating one gate, and the design space of the gate voltage in split-gate FeFET is analyzed comprehensively and quantitatively. To further demonstrate the advantages of using split-gate device structure, the thesis explores the AOI (AND-OR-INVERTER) circuit. The AOI circuit uses the NAND, NOT, and NOR logic functions. Results highlight that split-gate FeFET can replace conventional NAND logic function in the AOI logic circuit, and thus it reduces the number of transistors in the conventional AOI circuit. Moreover, the results obtained from AOI circuit designed with the split-gate are comparable with conventional AOI circuit. Furthermore, the thesis explores the XNOR logic operation using split-gate and conventional FeFET. Results show that split-gate FeFET lowers the writing voltage in XNOR logic function.
Keywords: Ferroelectric, Von Neumann bottleneck, ferroelectric FET, split-gate FeFET, non-volatile memory, memory window, logic in memory, variability, design space
關鍵字(中) ★ 鐵電材料
★ 馮諾伊曼瓶頸
★ 鐵電場效電晶體
★ 分離式閘極鐵電場效電晶體
★ 非揮發性記憶體
★ 記憶體視窗
★ 記憶邏輯運算
★ 變異度
★ 設計空間
關鍵字(英) ★ Ferroelectric
★ Von Neumann bottleneck
★ ferroelectric FET
★ split-gate FeFET
★ non-volatile memory
★ memory window
★ logic in memory
★ variability
★ design space
論文目次 摘要 I
Abstract III
致謝 V
圖目錄 XI
表目錄 XVI
第一章 導論 1
1.1 背景與相關研究 1
1.1.1 鐵電材料與非揮發性鐵電場效電晶體發展介紹 2
1.1.2 非揮發性鐵電場效電晶體操作應用 6
1.1.3 記憶邏輯運算介紹 9
1.2 研究動機 13
1.3 論文架構 14
第二章 鐵電電晶體探討源極與背閘極的設計空間並探討變異度的影響與功率能量差異 15
2.1 前言 15
2.2 模擬模型Preisach Model介紹 16
2.3 鐵電場效電晶體記憶體視窗 19
2.4 元件結構與模擬模型架構 21
2.5 透過記憶體視窗平移達成邏輯閘與設計空間 23
2.5.1 邏輯閘OR與NOR實現結果 27
2.5.2 邏輯閘透過源極實現AND與NAND結果 28
2.5.3 邏輯閘透過背閘極實現AND與NAND結果 29
2.5.4 記憶體視窗平移過多導致邏輯閘失敗例子 30
2.5.5 最佳化源極與背閘極定義與設計空間邊界值 32
2.5.6 功率與能量延遲積在最佳化源極與背閘極 35
2.6 分析變異度對於設計空間的影響 37
2.6.1 鐵電參數變異度對於NOR的設計空間 37
2.6.2 鐵電參數變異度對於NAND的設計空間 40
2.7 結論 42
第三章 分離式閘極鐵電場效電晶體操作於邏輯閘電路量化且實現 43
3.1 前言 43
3.2 記憶體視窗 45
3.3 元件結構與模擬參數 47
3.4 分離式閘極鐵電場效電晶體於陣列中 48
3.5 分離式閘極鐵電電晶體實現XOR與XNOR 51
3.5.1 分離式閘極鐵電場效電晶體優勢於XNOR中 52
3.5.2 寫入極化電壓差異在XNOR邏輯閘 57
3.6 分離式閘極鐵電場效電晶體實現邏輯閘 59
3.6.1 分離式閘極鐵電場效電晶體實現OR與NOR 60
3.6.2 分離式閘極鐵電場效電晶體實現AND與NAND 62
3.6.3 記憶體視窗平移太多造成失敗 63
3.6.4 閘極1調變的設計空間與最佳化值 64
3.7 分離式閘極鐵電場效電晶體實現AOI電路 65
3.8 結論 68
第四章 總結 69
參考文獻 72
參考文獻 [1] C. C. Wu et al., "High performance 22/20nm FinFET CMOS devices with advanced high-K/metal gate scheme," 2010 International Electron Devices Meeting, 2010, pp. 27.1.1-27.1.4.
[2] T. Yamashita et al., "Sub-25nm FinFET with advanced fin formation and short channel effect engineering," 2011 Symposium on VLSI Technology - Digest of Technical Papers, 2011, pp. 14-15.
[3] J. A. Smith et al., "Investigation of Electrically Gate-All-Around Hexagonal Nanowire FET (HexFET) Architecture for 5 nm Node Logic and SRAM Applications," 2017 47th European Solid-State Device Research Conference (ESSDERC), Leuven, 2017, pp. 188-191.
[4] S.-D. Kim, M. Guillorn, I. Lauer, P. Oldiges, T. Hook and M.-H. Na, "Performance trade-offs in FinFET and gate-all-around device architectures for 7nm-node and beyond," 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Rohnert Park, CA, 2015, pp. 1-3.
[5] I.R. Committee, "International Roadmap for Devices and Systems," 2016 Edition. More Moore white paper.
[6] J. Valasek, "Piezo-Electric and Allied Phenomena in Rochelle Salt," Physical Review, vol. 17, pp. 475, 1921.
[7] J. Müller et al., "Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG," 2012 Symposium on VLSI Technology (VLSIT), Honolulu, Hl, 2012, pp. 25-26.
[8] Y. Li, Y. Kang and X. Gong, "Evaluation of Negative Capacitance Ferroelectric MOSFET for Analog Circuit Applications," IEEE Transactions on Electron Devices, vol. 64, no. 10, pp. 4317-4321, Oct. 2017.
[9] Y. Liang, X. Li, S. K. Gupta, S. Datta and V. Narayanan, "Analysis of DIBL Effect and Negative Resistance Performance for NCFET Based on a Compact SPICE Model," IEEE Transactions on Electron Devices, vol. 65, no. 12, pp. 5525-5529, Dec. 2018.
[10] E. T. Breyer et al., "Ultra-dense co-integration of FeFETs and CMOS logic enabling very-fine grained Logic-in-Memory," ESSDERC 2019 - 49th European Solid-State Device Research Conference (ESSDERC), Cracow, 2019, pp. 118-121.
[11] X. Yin et al., "Exploiting Ferroelectric FETs for Low-Power Non-Volatile Logic-in-Memory Circuits," 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, 2016, pp. 1-8.
[12] S. Dünkel et al., "A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 19.7.1-19.7.4.
[13] M. Lapedus, "FeFETs are a promising next-gen memory based on well-understood materials," 2018, https://semiengineering.com/a-new-memory-contender/.
[14] X. Li and L. Lai, "Nonvolatile Memory and Computing Using Emerging Ferroelectric Transistors," 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Hong Kong, 2018, pp. 750-755.
[15] A. J. Tan et al., "Experimental Demonstration of a Ferroelectric HfO2-Based Content Addressable Memory Cell," IEEE Electron Device Letters, vol. 41, no. 2, pp. 240-243, Feb. 2020.
[16] K. Ni et al., "In-Memory Computing Primitive for Sensor Data Fusion in 28 nm HKMG FeFET Technology," 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018, pp. 16.1.1-16.1.4.
[17] Y. Long et al., "A Ferroelectric FET-Based Processing-in-Memory Architecture for DNN Acceleration," IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, vol. 5, no. 2, pp. 113-122, Dec. 2019.
[18] K. Ni et al., "SoC Logic Compatible Multi-Bit FeMFET Weight Cell for Neuromorphic Applications," 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018, pp. 13.2.1-13.2.4.
[19] H. Mulaosmanovic et al., "Novel ferroelectric FET based synapse for neuromorphic systems," 2017 Symposium on VLSI Technology, Kyoto, 2017, pp. 176-177.
[20] J. S. Meena, S. M. Sze, U. Chand and T.-Y. Tseng, "Overview of emerging nonvolatile memory technologies," Nanoscale Res Lett 9, 526 (2014), https://doi.org/10.1186/1556-276X-9-526.
[21] K. Ni et al., "Critical Role of Interlayer in Hf0.5Zr0.5O2 Ferroelectric FET Nonvolatile Memory Performance," IEEE Transactions on Electron Devices, vol. 65, no. 6, pp. 2461-2469, June 2018.
[22] J. Wu et al., "Adaptive Circuit Approaches to Low-Power Multi-Level/Cell FeFET Memory," 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), Beijing, 2020, pp. 407-413.
[23] M. Jerry, A. Aziz, K. Ni, S. Datta, S. K. Gupta and N. Shukla, "A Threshold Switch Augmented Hybrid-FeFET (H-FeFET) with Enhanced Read Distinguishability and Reduced Programming Voltage for Non-Volatile Memory Applications," 2018 IEEE Symposium on VLSI Technology, Honolulu, Hl, 2018, pp. 129-130.
[24] M. Takahashi, W. Zhang and S. Sakai, "High-Endurance Ferroelectric NOR Flash Memory Using (Ca, Sr) Bi2Ta2O9 FeFETs," 2018 IEEE International Memory Workshop (IMW), Kyoto, 2018, pp. 1-4.
[25] V. P.-H. Hu et al., "Split-Gate FeFET (SG-FeFET) with Dynamic Memory Window Modulation for NonVolatile Memory and Neuromorphic Applications," 2019 Symposium on VLSI Technology, Kyoto, 2019, pp. T134-T135.
[26] J. Hung, X. Li, J. Wu and M. Chang, "Challenges and Trends inDeveloping Nonvolatile Memory-Enabled Computing Chips for Intelligent Edge Devices," IEEE Transactions on Electron Devices, vol. 67, no. 4, pp. 1444-1453, April 2020.
[27] K. Tang et al., "Considerations of Integrating Computing-In-Memory and Processing-In-Sensor into Convolutional Neural Network Accelerators for Low-Power Edge Devices," 2019 Symposium on VLSI Technology, Kyoto, 2019, pp. T166-T167.
[28] E. T. Breyer, H. Mulaosmanovic, T. Mikolajick and S. Slesazeck, "Reconfigurable NAND/NOR logic gates in 28 nm HKMG and 22 nm FD-SOI FeFET technology," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 28.5.1-28.5.
[29] E. T. Breyer, H. Mulaosmanovic, S. Slesazeck, T. Mikolajick and T. Mikolajick, "Demonstration of versatile nonvolatile logic gates in 28nm HKMG FeFET technology," 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, 2018, pp. 1-5.
[30] A. Sharma and K. Roy, "1T Non-Volatile Memory Design Using Sub-10nm Ferroelectric FETs," IEEE Electron Device Letters, vol. 39, no. 3, pp. 359-362, March 2018.
[31] Sentaurus TCAD, O-2018-6 Manual.
[32] B. Jiang et al., "Computationally Efficient Ferroelectric Capacitor Model for Circuit Simulation," Symposium on VLSI Technology, Kyoto, 1997, pp. 141-142.
[33] Hang-Ting Lue, Chien-Jang Wu and Tseung-Yuen Tseng, "Device modeling of ferroelectric memory field-effect transistor (FeMFET)," IEEE Transactions on Electron Devices, vol. 49, no. 10, pp. 1790-1798, Oct. 2002.
[34] M. Jerry et al., "Ferroelectric FET analog synapse for acceleration of deep neural network training," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 6.2.1-6.2.4.
[35] C. Jin, T. Saraya, T. Hiramoto and M. Kobayashi, "On the Physical Mechanism of Transient Negative Capacitance Effect in Deep Subthreshold Region," IEEE Journal of the Electron Devices Society, vol. 7, pp. 368-374, 2019.
[36] K. Ni, M. Jerry, J. A. Smith and S. Datta, "A Circuit Compatible Accurate Compact Model for Ferroelectric-FETs," 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, 2018, pp. 131-132.
[37] U. Schroeder et al., "Impact of field cycling on HfO2based non-volatile memory devices," 2016 46th European Solid-State Device Research Conference (ESSDERC), Lausanne, 2016, pp. 364-368.
[38] H. Mulaosmanovic, E. T. Breyer, T. Mikolajick and S. Slesazeck, "Ferroelectric FETs with 20-nm-Thick HfO2 Layer for Large Memory Window and High Performance," IEEE Transactions on Electron Devices, vol. 66, no. 9, pp. 3828-3833, Sept. 2019.
[39] A. Mallick and N. Shukla, "Evaluation of Bulk and SOI FeFET Architecture for Non-Volatile Memory Applications," IEEE Journal of the Electron Devices Society, vol. 7, pp. 425-429, 2019.
[40] C. Lin, A. I. Khan, S. Salahuddin and C. Hu, "Effects of the Variation of Ferroelectric Properties on Negative Capacitance FET Characteristics," IEEE Transactions on Electron Devices, vol. 63, no. 5, pp. 2197-2199, May 2016.
[41] T. Dutta, G. Pahwa, A. Agarwal and Y. S. Chauhan, "Impact of Process Variations on Negative Capacitance FinFET Devices and Circuits," IEEE Electron Device Letters, vol. 39, no. 1, pp. 147-150, Jan. 2018.
[42] S. K. Thirumala and S. K. Gupta, "Reconfigurable Ferroelectric Transistor—Part I: Device Design and Operation," IEEE Transactions on Electron Devices, vol. 66, no. 6, pp. 2771-2779, June 2019.
[43] S. K. Thirumala and S. K. Gupta, "Reconfigurable Ferroelectric Transistor–Part II: Application in Low-Power Nonvolatile Memories," IEEE Transactions on Electron Devices, vol. 66, no. 6, pp. 2780-2788, June 2019.
[44] S. Müller et al., "Correlation between the macroscopic ferroelectric material properties of Si: HfO2 and the statistics of 28 nm FeFET memory arrays," Ferroelectrics, vol. 497, pp. 42-51, 2016.
[45] T. Y. Ho and V. P.-H. Hu, "Design Space Exploration of 1T Non-Volatile Ferroelectric FET Memory for Logic-In-Memory Applications," 2019 International Conference on Solid State Devices and Materials (SSDM), Nagoya, 2019, pp. 381-382.
指導教授 胡璧合(Pi-Ho Hu) 審核日期 2020-8-19
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明