博碩士論文 108521005 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:3 、訪客IP:3.147.65.65
姓名 劉昌儒(Chang-Ju Liu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 高密度 4T 與 6T 低溫鰭式場效電晶體靜態隨機存取記憶體
(High Density 4T and 6T Cryogenic FinFET SRAM)
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2024-6-30以後開放)
摘要(中) 隨著製程微縮技術的推進,降低電源電壓與臨界電壓會導致漏電功率嚴重增
加,使得互補式金氧半場效電晶體(CMOS)電路的性能受到限制,此外在先進節點技術下,內部金屬導線的微縮會造成導線電阻大幅增加,進而降低電路的效能表現。而低溫 CMOS(Cryo-CMOS)是一個極具潛力的解決方式,元件可以透過操作在超低溫下來提高性能表現與降低電源功率。因此本研究透過 TCAD 軟體的Mixed-Mode 模擬,同時考慮內部導線模型(π-3 Model),研究 300K 與 77K 下,4T與 6T 靜態隨機存取記憶體(SRAM)的讀寫穩定度和速度等特性。
研究結果顯示與 300K 的 6T SRAM 相比,4T SRAM 操作在 77K 時,在面積上縮減了 20.3%、讀取時間減少 44%、寫入時間減少 46%、寫入穩定度提高了 2.3倍、能量延遲積(EDP)減少了 53%。
本論文也探討了利用 Transistor-Level 積層型三維堆疊的方式來設計 SRAM,在三維堆疊的設計中,將 P 型與 N 型電晶體製作在不同的平面上,可以調整製作流程並獨立優化電晶體特性,不但可以縮小 SRAM 的單元面積也可以減少內部導線的繞線長度,使字元線及位元線的電阻電容值降低。研究結果顯示 77K 下兩層設計的積層型 4T SRAM 與 300K 下的 6T 一層的設計相比可以改善 62%讀取時間、69%寫入時間及 77%能量延遲積。本篇論文提出具有高能量效率與面積小的兩層積層型三維 4T SRAM,可增加在邊緣運算裝置的應用潛力。
摘要(英) As the technology scaling continues, it is getting more challenging to improve the CMOS power performance by reducing the supply voltage and threshold voltage without prohibitively increasing its leakage power. Moreover, continued scaling of the metal interconnection geometry increases wire resistance which degrades the circuit performance in advanced technology nodes. Cryo-CMOS has emerged as a highly promising solution to improve performance and power efficiency by operating the devices at ultra-low temperatures. Therefore, the thesis explores the performance of SRAM at lower temperatures using TCAD Mix-Mode simulations coupled with the interconnect π-3 model.
This thesis analyzes the read stability, write stability, and speed for 6T and 4T SRAM cells at 300 K and 77 K. Compared to 6T SRAM cell at 300K, 4T SRAM cell at 77K shows 20.3% cell area reduction, 44% reduction in read access time, 46% improvement in write time, 2.3× improvement in write stability, and 53% reduction in energy-delay product (EDP).
The thesis also explores the design methodology of SRAM cell with transistor-level monolithic 3D integration. In transistor-level M3D design, p-type and n-type transistors are fabricated on different layers, which can be optimized separately. The 3D integration reduces the SRAM cell area and reduces the interconnect lengths, which is beneficial for lowering wire routing resistance and capacitance. Compared to the 1-tier 6T SRAM cell at 300K, the monolithic 2-tier 4T SRAM cell shows 62% improvements in read access time, 69% improvements in time to write, and 77% improvements in EDP at 77K. The energy- and areaefficiency of 2-tier 4T SRAM cell enables intelligent functionalities for the energy-constrained edge computing devices.
關鍵字(中) ★ 低溫金氧半場效電晶體
★ 靜態隨機存取記憶體
★ 積層型三維堆疊
★ 後段製程
★ 能量效率
關鍵字(英)
論文目次 摘要 I
Abstract II
致謝 III
目錄 IV
圖目錄 VII
表目錄 XI
第1章 導論 1
1.1 背景與相關研究 1
1.1.1 低溫金氧半場效電晶體Cryo-CMOS 3
1.1.2 靜態隨機存取記憶體 6
1.1.3 積層型三維結構 8
1.2 研究動機 10
1.3 論文架構 11
第2章 6T與4T靜態隨機記憶體之操作 12
2.1 前言 12
2.2 6T靜態隨機存取記憶體介紹 13
2.3 4T靜態隨機存取記憶體介紹 15
2.4 靜態雜訊邊界介紹 17
2.5 SRAM 單元性能分析 19
2.5.1 讀取時間 20
2.5.2 寫入時間 22
2.6 動態能量消耗與能量延遲積 24
第3章 分析6T與4T低溫靜態隨機存取記憶體 26
3.1 前言 26
3.2 元件Id-Vg參數校正與低溫元件特性 27
3.3 元件結構及模擬參數 31
3.4 靜態雜訊邊界分析 36
3.5 SRAM 單元性能分析 40
3.5.1 金屬線電阻電容計算 41
3.5.2 讀取時間分析 46
3.5.3 寫入時間分析 48
3.6 動態能量消耗與能量延遲積的比較 50
3.7 結論 54
第4章 分析三維堆疊式6T與4T低溫靜態隨機存取記憶體 56
4.1 前言 56
4.2 佈局設計 57
4.2.1 6T靜態隨機存取記憶體 58
4.2.2 4T靜態隨機存取記憶體 61
4.3 SRAM單位性能分析 63
4.3.1 金屬線電阻電容計算 64
4.3.2 讀取時間分析 67
4.3.3 寫入時間分析 70
4.4 動態能量消耗與能量延遲積的比較 71
4.5 結論 73
第5章 總結 75
參考文獻 77
參考文獻 [1] H. M. Fahad and M. M. Hussain "Are Nanotube Architectures More Advantageous Than Nanowire Architectures For Field Effect Transistors?" Sci. Rep. 2, No. 475, 2012.
[2] C. C. Wu et al., "High performance 22/20nm FinFET CMOS devices with advanced high-K/metal gate scheme," 2010 International Electron Devices Meeting, San Francisco, CA, 2010, pp. 27.1.1-27.1.4.
[3] J. A. Smith et al., "Investigation of electrically gate-all-around hexagonal nanowire FET (HexFET) architecture for 5 nm node logic and SRAM applications," 2017 47th European Solid-State Device Research Conference (ESSDERC), Leuven, 2017, pp. 188-191.
[4] I.R. Committee, "International Technology Road map for Semiconductors, " 2020 Edition. Semiconductor Industry Association.
[5] S. M. Salahuddin, K. A. Shaik, A. Gupta, B. Chava, M. Gupta, P. Weckx, J. Ryckaert and A. Spessot,"SRAM With Buried Power Distribution to Improve Write Margin and Performance in Advanced Technology Nodes," in IEEE Electron Device Letters, vol. 40, no. 8, pp. 1261-1264, Aug. 2019.
[6] Z. Guo, D. Kim, S. Nalam, J. Wiedemer, X. Wang and E. Karl, "A 23.6Mb/mm2 SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for low-voltage applications," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018.
[7] T. Irisawa et al., "Demonstration of InGaAs/Ge Dual Channel CMOS Inverters with High Electron and Hole Mobility Using Staked 3D Integration," in VLSI Symp. Tech. Dig., 2013, pp. 56-57.
[8] P. Batude et al., "3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS, " IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 2, no. 4, December 2012.
[9] J. Y. -. Sun, Yuan Taur, R. H. Dennard and S. P. Klepner, "Submicrometer-channel CMOS for low-temperature operation," in IEEE Transactions on Electron Devices, vol. 34, no. 1, pp. 19-27, Jan. 1987.
[10] A. Beckers, F. Jazaeri, A. Ruffino, C. Bruschini, A. Baschirotto and C. Enz, "Cryogenic characterization of 28 nm bulk CMOS technology for quantum computing," 2017 47th European Solid-State Device Research Conference (ESSDERC), 2017, pp. 62-65.
[11] W. Chakraborty, K. Ni, J. Smith, A. Raychowdhury and S. Datta, "An Empirically Validated Virtual Source FET Model for Deeply Scaled Cool CMOS," 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 39.4.1-39.4.4.
[12] H. L. Chiang et al., "Cold CMOS as a Power-Performance-Reliability Booster for Advanced FinFETs," 2020 IEEE Symposium on VLSI Technology, 2020, pp. 1-2.
[13] M. Lapedus, "FeFETs are a promising next-gen memory based on well understood material," 2018, https://semiengineering.com/a-new memory-contender/
[14] R. Gaillard and G. Poirault, "Numerical simulation of hard errors induced by heavy ions in 4T high density SRAM cells," in IEEE Transactions on Nuclear Science, vol. 41, no. 3, pp. 613-618, June 1994.
[15] K. Noda, K. Matsui, K. Takeda and N. Nakamura, "A loadless CMOS four-transistor SRAM cell in a 0.18-/spl mu/m logic technology," in IEEE Transactions on Electron Devices, vol. 48, no. 12, pp. 2851-2855, Dec. 2001.
[16] M. Yamaoka, K. Osada, R. Tsuchiya, M. Horiuchi, S. Kimura and T. Kawahara, "Low power SRAM menu for SOC application using Yin-Yang-feedback memory cell technology," 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525), 2004.
[17] A. Kotabe et al., "A low-power four-transistor SRAM cell with a stacked vertical poly-silicon PMOS and a dual-word-voltage scheme," in IEEE Journal of Solid-State Circuits, vol. 40, no. 4, pp. 870-876, April 2005.
[18] J. Yang and L. Chen, "A New Loadless 4-Transistor SRAM Cell with a 0.18 µm CMOS Technology," 2007 Canadian Conference on Electrical and Computer Engineering, 2007, pp. 538-541.
[19] B. Giraud, A. Vladimirescu and A. Amara, "In-depth Analysis of 4T SRAM Cells in Double-Gate CMOS," 2007 IEEE International Conference on Integrated Circuit Design and Technology, 2007, pp. 1-4.
[20] B. Giraud, A. Amara and A. Vladimirescu, "A Comparative Study of 6T and 4T SRAM Cells in Double-Gate CMOS with Statistical Variation," 2007 IEEE International Symposium on Circuits and Systems, 2007, pp. 3022-3025.
[21] P. Batude et al., "3D CMOS integration: Introduction of dynamic coupling and application to compact and robust 4T SRAM," 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial, 2008, pp. 281-284.
[22] S. R., N. T. Deshpande and A. R. Aswatha, "Design and Analysis of a New Loadless 4T SRAM Cell in Deep Submicron CMOS Technologies," 2009 Second International Conference on Emerging Trends in Engineering & Technology, 2009, pp. 155-161.
[23] J. -. Noel, O. Thomas, C. Fenouillet-Beranger, M. -. Jaud and A. Amara, "Robust multi-VT 4T SRAM cell in 45nm thin BOx fully-depleted SOI technology with ground plane," 2009 IEEE International Conference on IC Design and Technology, 2009, pp. 191-194.
[24] V. Saripalli, D. K. Mohata, S. Mookerjea, S. Datta and V. Narayanan, "Low Power Loadless 4T SRAM cell based on degenerately doped source (DDS) In0.53Ga0.47As Tunnel FETs," 68th Device Research Conference, 2010, pp. 101-102.
[25] M. Fan, Y. Wu, V. P. Hu, C. Hsieh, P. Su and C. Chuang, "Comparison of 4T and 6T FinFET SRAM Cells for Subthreshold Operation Considering Variability—A Model-Based Approach," in IEEE Transactions on Electron Devices, vol. 58, no. 3, pp. 609-616, March 2011.
[26] V. Asthana, M. Kar, J. Jimenez, J. Noel, S. Haendler and P. Galy, "Circuit optimization of 4T, 6T, 8T, 10T SRAM bitcells in 28nm UTBB FD-SOI technology using back-gate bias control," 2013 Proceedings of the ESSCIRC (ESSCIRC), 2013, pp. 415-418.
[27] A. Shafaei and M. Pedram, "Energy-efficient cache memories using a dual-Vt 4T SRAM cell with read-assist techniques," 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016, pp. 457-462.
[28] C. Liao, M. Hsu, Y. Chih, J. Chang, Y. King and C. J. Lin, "Zero static-power 4T SRAM with self-inhibit resistive switching load by pure CMOS logic process," 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 16.5.1-16.5.4.
[29] M. Brocard et al., "High density SRAM bitcell architecture in 3D sequential CoolCube™ 14nm technology," 2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2016, pp. 1-3.
[30] R. Boumchedda et al., "High-Density 4T SRAM Bitcell in 14-nm 3-D CoolCube Technology Exploiting Assist Techniques," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 8, pp. 2296-2306, Aug. 2017.
[31] R. Boumchedda et al., "Energy-Efficient 4T SRAM Bitcell with 2T Read-Port for Ultra-Low-Voltage Operations in 28 nm 3D Monolithic CoolCube™ Technology," 2018 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), 2018, pp. 1-7.
[32] C. Shen et al., "Monolithic 3D chip integrated with 500ns NVM, 3ps logic circuits and SRAM," 2013 IEEE International Electron Devices Meeting, Washington, DC, 2013, pp. 9.3.1-9.3.4.
[33] S. Panth, S. Samal, Y. S. Yu and S. K. Lim, "Design challenges and solutions for ultra-high-density monolithic 3D ICs," 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Millbrae, CA, 2014, pp. 1-2.
[34] Sentaurus TCAD, R-2020-09 Manual.
[35] V. P.-H Hu and C.-J Liu, "Static Noise Margin Analysis for Cryo-CMOS SRAM Cell, "2021 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT), 2021.
[36] S. -Y. Wu et al., "A 7nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027um2 high density 6-T SRAM cell for mobile SoC applications," 2016 IEEE International Electron Devices Meeting (IEDM), 2016
[37] F. Andrieu et al., "Design technology co-optimization of 3D-monolithic standard cells and SRAM exploiting dynamic back-bias for ultra-low-voltage operation," 2017 IEEE International Electron Devices Meeting (IEDM), 2017
[38] Lawrence T. Clark, Vinay Vashishtha, Lucian Shifren, Aditya Gujja, Saurabh Sinha, Brian Cline, Chandarasekaran Ramamurthy, Greg Yeric,” A 7-nm finFET predictive process design kit,” Microelectronics Journal, Volume 53, July 2016, Pages 105-115.
[39] S. M. Salahuddin et al., "SRAM With Buried Power Distribution to Improve Write Margin and Performance in Advanced Technology Nodes," in IEEE Electron Device Letters, vol. 40, no. 8, pp. 1261-1264, Aug. 2019.
[40] W. Chakraborty et al., "Cryogenic RF CMOS on 22nm FDSOI Platform with Record fT=495GHz and fMAX=497GHz," 2021 Symposium on VLSI Technology, 2021, pp. 1-2.
[41] V. P.-H Hu, C.-J Liu, H.-L Chiang, J.-F Wang, C.-C Cheng, T.-C Chen, and M.-F Chang, "High-Density and High-Speed 4T FinFET SRAM for Cryogenic Computing," 2021 IEEE International Electron Devices Meeting (IEDM), 2021.
[42] V. P. -H. Hu, C. -W. Su, C. -C. Yu, C. -J. Liu and C. -Y. Weng, "Monolithic 3D SRAM Cell with Stacked Two-Dimensional Materials Based FETs at 2nm Node," 2021 IEEE International Symposium on Circuits and Systems (ISCAS), 2021, pp. 1-5.
指導教授 李依珊 胡璧合 審核日期 2021-11-29
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明