博碩士論文 108521136 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:4 、訪客IP:18.224.0.25
姓名 翁承揚(Cheng-Yang Weng)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 積層型三維邏輯電路之性能分析
(Performance Analysis of Monolithic 3D Logic Circuits)
相關論文
★ 考慮後段製程連線及佈局優化之積層型三維靜態隨機存取記憶體★ 無接面鐵電場效電晶體與量測模式對增強極化之影響
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2024-8-31以後開放)
摘要(中) 隨著技術節點的演進及製程技術的改良,電晶體及金屬導線可以進一步微縮,並且讓單位面積裡可以容納更多的電晶體,然而隨著面積的微縮,金屬繞線的程度會越來越複雜,因此增加了金屬繞線(Interconnect)的長度,使金屬導線的電阻值也增加,另一方面,金屬導線之間的距離越來越小,金屬導線與金屬導線之間互相耦合的情況便不可忽略。因此本論文利用積層型三維(Monolithic 3D)堆疊技術,以不同三維堆疊設計優化電路特性,研究積層型三維佈局(Layout)對邏輯電路速度的影響。
本論文主要探討的邏輯電路包含Inverter、NAND及NOR,利用Synopsys TCAD的Sentaurus Structure Editor (SDE)建立三維結構來分析邏輯電路的特性。論文內容分為三個主題,第一部分介紹本篇論文使用的元件結構-鰭式場效應電晶體以及中段及後段製程金屬導線結構參數。第二部分,本論文利用Transistor-Level積層型三維堆疊來設計邏輯電路,並研究三種Transistor-Level積層型三維堆疊設計,分別是折疊型(Folding)、拼接型(Stitching)及分離型(Separating),三種設計皆是將N型電晶體放在上層(Top-tier),P型電晶體放在下層(Bottom-tier),目的是在製程上可以調整製作流程並獨立優化N型及P型電晶體特性。
第三部分是比較Transistor-Level積層型三維堆疊與傳統二維堆疊的邏輯電路,透過積層型三維堆疊重新設計Inverter、NAND及NOR,可以發現不但能縮小邏輯電路的單元(cell)面積,也能減少金屬導線的繞線長度,使邏輯電路的延遲時間(delay time)降低。
摘要(英) With the evolution of technology nodes and the improvement of process technology, transistors and metal wires can be scaled down, more transistors can be accommodated in a unit area. However, as the area is reduced, the degree of metal wire routing will become more and more complicated. Since the length of the interconnect metal is increased, the resistance value of the metal wire is increased. On the other hand, the smaller the distance between the metal wires, the metal to metal coupling cannot be ignored. Therefore, this thesis uses the monolithic 3D stacking technology to optimize the circuit characteristics considering different 3D stacking designs.
The logic circuits discussed in this thesis includes Inverter, NAND and NOR. We analyze the characteristics of the logic circuit by using Sentaurus Structure Editor (SDE) from Synopsys TCAD to build a three-dimensional structure. In the first part, we introduce the device structure, fin field effect transistor (FinFET), and the metal wire structure parameters of middle-of-line (MOL) and back-end-of-line (BEOL). In the second part, we use the Transistor-Level monolithic 3D stacking technique to design logic circuits, this thesis investigated three Transistor-Level monolithic 3D stacking schemes, including Folding, Stitching and Separating. All the Transistor-Level monolithic 3D stacking schemes in this thesis are designed with N-type transistors on the top-tier and P-type transistors on the bottom-tier. The purpose is to adjust the manufacturing process and optimize the transistor characteristics independently.
In the third part, we analyze the logic circuits of Transistor-Level monolithic 3D stacking schemes compared with traditional 2D stacking. The proposed monolithic 3D stacking designs can reduce not only the logic circuit area, but also interconnect length that makes wire routing resistance low and reduces the delay time.
關鍵字(中) ★ 積層型三維堆疊
★ 中段製程
★ 後段製程
★ 邏輯電路
關鍵字(英) ★ Monolithic 3D integration
★ middle-of-line
★ back-end-of-line
★ logic circuit
論文目次 摘要 I
Abstract II
致謝 IV
目錄 V
圖目錄 VII
表目錄 IX
第一章 導論 1
1.1 背景與相關研究 1
1.1.1 積層型三維結構 (Monolithic 3D Structure) 3
1.1.2 中段及後段製程金屬導線 5
1.2 模擬架構與參數 7
1.2.1 鰭式場效應電晶體結構與模擬參數 7
1.2.2 中段及後段製程金屬導線結構參數 10
1.3 研究動機 12
1.4 論文架構 13
第二章 積層型三維邏輯電路考慮不同佈局設計對延遲特性之影響 14
2.1 前言 14
2.2 積層型三維邏輯電路考慮不同佈局設計介紹 14
2.2.1 折疊型 (Folding) 15
2.2.2 拼接型 (Stitching) 16
2.2.3 分離型 (Separating) 17
2.3 積層型三維反相器考慮不同佈局之延遲分析 18
2.3.1 前言 18
2.3.2 折疊型反相器考慮不同佈局之延遲分析 19
2.3.3 拼接型反相器考慮不同佈局之延遲分析 22
2.3.4 結論 26
2.4 比較傳統二維佈局邏輯電路與積層型三維邏輯電路 27
2.4.1 前言 27
2.4.2 Inverter (INV)邏輯電路之分析 28
2.4.3 NAND邏輯電路之分析 33
2.4.4 NOR邏輯電路之分析 38
第三章 總結 43
參考文獻 45
參考文獻 [1] Jurczak, Malgorzata, et al. "Review of FINFET technology." 2009 IEEE international SOI conference. IEEE, 2009.
[2] Smith, Jeffrey A., et al. "Investigation of electrically gate-all-around hexagonal nanowire FET (HexFET) architecture for 5 nm node logic and SRAM applications." 2017 47th European Solid-State Device Research Conference (ESSDERC). IEEE, 2017.
[3] Colinge, Jean-Pierre, et al. "Nanowire transistors without junctions." Nature nanotechnology 5.3 (2010): 225-229.
[4] Jang, Doyoung, et al. "Device exploration of nanosheet transistors for sub-7-nm technology node." IEEE Transactions on Electron Devices 64.6 (2017): 2707-2713.
[5] Shen, Wen-Wei, and Kuan-Neng Chen. "Three-dimensional integrated circuit (3D IC) key technology: through-silicon via (TSV)." Nanoscale research letters 12.1 (2017): 1-9.
[6] Lee, Young-Joon, Patrick Morrow, and Sung Kyu Lim. "Ultra high density logic designs using transistor-level monolithic 3D integration." 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 2012.
[7] Ryckaert, J., et al. "The Complementary FET (CFET) for CMOS scaling beyond N3." 2018 IEEE Symposium on Vlsi Technology. IEEE, 2018.
[8] Yeap, Geoffrey. "Smart mobile SoCs driving the semiconductor industry: Technology trend, challenges and opportunities." 2013 IEEE International Electron Devices Meeting. IEEE, 2013.
[9] I.R. Committee, "International Technology Road map for Semiconductors, "2020 Edition. Semiconductor Industry Association.
[10] Kim, Dae Hyun, Saibal Mukhopadhyay, and Sung Kyu Lim. "Fast and accurate analytical modeling of through-silicon-via capacitive coupling." IEEE Transactions on Components, Packaging and Manufacturing Technology 1.2 (2011): 168-180.
[11] Yan, Chen, and Emre Salman. "Mono3D: Open source cell library for monolithic 3-D integrated circuits." IEEE Transactions on Circuits and Systems I: Regular Papers 65.3 (2017): 1075-1085.
[12] C. Shen et al., "Monolithic 3D chip integrated with 500ns NVM, 3ps logic circuits and SRAM," 2013 IEEE International Electron Devices Meeting,Washington, DC, 2013, pp. 9.3.1-9.3.4.
[13] Yu, Yun Seop, Shreepad Panth, and Sung Kyu Lim. "Electrical coupling of monolithic 3-D inverters." IEEE Transactions on Electron Devices 63.8 (2016): 3346-3349.
[14] Clark, Lawrence T., et al. "ASAP7: A 7-nm finFET predictive process design kit." Microelectronics Journal 53 (2016): 105-115.
[15] Wu, Yung-Chun, and Yi-Ruei Jhan. "Introduction of synopsys sentaurus TCAD simulation." 3D TCAD Simulation for CMOS Nanoeletronic Devices. Springer, Singapore, 2018. 1-17.
[16] Lee, Young-Joon, Patrick Morrow, and Sung Kyu Lim. "Ultra high density logic designs using transistor-level monolithic 3D integration." 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 2012.
[17] Shi, Jiajun, et al. "A 14nm FinFET transistor-level 3D partitioning design to enable high-performance and low-cost monolithic 3D IC." 2016 IEEE International Electron Devices Meeting (IEDM). IEEE, 2016.
[18] Yoon, Jun-Sik, et al. "Performance, Power, and Area of Standard Cells in Sub 3 nm Node Using Buried Power Rail." IEEE Transactions on Electron Devices 69.3 (2022): 894-899.
[19] Mathur, R., et al. "Buried Bitline for sub-5nm SRAM Design." 2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 2020.
[20] Ku, Bon Woong, et al. "Transistor-level monolithic 3D standard cell layout optimization for full-chip static power integrity." 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). IEEE, 2017.
[21] Jiang, Junkai, et al. "Ultimate monolithic-3D integration with 2D materials: Rationale, prospects, and challenges." IEEE Journal of the Electron Devices Society 7 (2019): 878-887.
[22] Shi, Jiajun, et al. "On the design of ultra-high density 14nm finfet based transistor-level monolithic 3d ics." 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, 2016.
指導教授 胡璧合 李依珊(Pi-Ho Hu Yi-Shan Lee) 審核日期 2022-8-4
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明