博碩士論文 110521042 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:34 、訪客IP:3.133.127.147
姓名 呂睿洋(Ruei-Yang Lyu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 新穎的多阻態之真亂數產⽣器由 40nm電阻式記憶體陣列實現
(A Novel Multiple-resistive-state True Random Number Generator(msTRNG) Realized by 40-nm RRAM Array)
相關論文
★ 基於十六奈米鰭式場效電晶體平台實現通道轟擊電離編程機制之低成本高速嵌入式動態隨機存取記憶體★ 具有通道熱電子注入編程能力的40nm 4kb 1T OTP陣列的設計和實現
★ 通過虛擬源極傳輸模型對16-nm應變矽鰭式場效電晶體低溫準彈道傳輸的電性變化建模★ 基於閾值電壓電性擾動所實現之高速亂數產生率的40-nm 4T-SRAM真亂數產生器記憶體矩陣晶片
★ 多阻態存取1T1R電阻式記憶體矩陣晶片的三態內容定址記憶體的設計和實現★ 40奈米之電阻式記憶體陣列透過啟動/設置/重置操作物理不可複製功能的綜合研究
★ 新穎極小化高密度三維整體堆疊式1T-nF電流熔絲一次性編程記憶體晶片★ 混和訊號 1.6-3.6GHz 相位旋轉延遲鎖定迴路
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2029-1-10以後開放)
摘要(中) 在網路世代中,資訊安全的議題時常被討論,許多安全算法需要利用到隨機亂數來作為種子(Seed),而真亂數產生器(TRNGs)可以利用隨機電報雜Random Telegraph Noise (RTN)…等物理特性,來產生隨機的0和1字串,而這些物理特性難以用理論去預測其結果,使得一連串真隨機的亂數得以產生。本論文所設計的容量為 1Mb 的 電阻式記憶體矩陣,將電阻式記憶體整合在電晶體的接觸點(Contact)上,在讀取過程電阻式記憶體中氧化層會產生電子的捕獲或釋放,導致每次電流的路徑(Filament)有所不同,這便是隨機電報雜訊,因此每次讀取所量到的電流會有所不同。操作方面,使用逐漸設置(Graduate SET)和逐漸重置(Graduate RESET)的機制去變化電阻式記憶體的阻態,將低阻態(LRS)和高阻態(HRS)分別區分出四個狀態,再利用電壓0.3V、操作時間50ns進行讀取,每個阻態分別連續讀取2000次進行統計,發現不同阻態下,其電阻值大小分布皆會呈現常態分佈,接著我們藉由電阻變化,進一步將訊號轉換成亂數。此電阻式記憶體產生的字串經由外部漢明距離(Inter Hamming Distance) 、內部漢明距離(Intra Hamming Distance)、漢明權重(Hamming Weight)等資訊理論的分析後,皆得到良好結果(~50%),這意味此記憶體大量產生的字串具有隨機性,並非有規律性的產生0和1。在NIST測試中,室溫和高溫75度皆能通過全部15項驗證。本文將電阻式記憶體整合在電晶體的後段金屬連線上,形成新型的記憶體矩陣,此製程具有低功耗、低成本的性質,並且可以和未來的製程節點相容。
摘要(英) In the age of the internet, issues related to information security are frequently discussed. Many security algorithms require the use of random numbers as seeds, and true random number generators (TRNG) can utilize physical properties, such as the random telegraph noise (RTN) to generate random sequences of bits-“0”s and bits-“1”s. These physical properties are difficult to predict theoretically, allowing the generation of a sequence of truly random numbers.
In this paper, a 1Mb capacity RRAM matrix is designed. The RRAM is integrated into the contacts of transistors. During the READ process, the RRAM′s oxide layer captures or releases electrons, leading to variations in the path of current flow (filament) with each READ, it is named as random telegraph noise. Thus, the measured current during each cycle is different. The graduate SET and graduate RESET mechanism is used to change the resistance states of the RRAM. Low resistance state (LRS) and high resistance state (HRS) are distinguished into four states. Read operation is performed with a voltage of 0.3V and a duration of 50ns. Each configuration is READ continuously 2000 times for statistical analysis. The resistance values exhibit a normal distribution. Subsequently, we can convert the signals into random numbers.
The strings generated by the tested RRAM array are analyzed using information theory metrics such as the inter Hamming distance, intra Hamming distance, Hamming weight, and all get good results (around 50%). This implies that the strings produced by this memory, exhibit randomness and do not follow a regular pattern of bits-“0”s and bits-“1”s.
關鍵字(中) ★ 電阻式記憶體
★ 真亂數產生器
★ 熱雜訊
★ 隨機電報雜訊
★ 記憶體矩陣
關鍵字(英) ★ RRAM
★ True Random Number Generator
★ Thermal Noise
★ Random Telegraph Noise
★ memory array
論文目次 摘要 I
Abstract II
致謝 III
圖目錄 VI
表目錄 VIII
第一章 介紹 1
1.1背景 1
1.2 研究動機 2
1.3 論文架構 3
第二章 電阻式記憶體陣列設計與量測方法 4
2.1 電阻式記憶體單一位元架構 4
2.1.1 電阻式記憶體介紹. 4
2.1.2 元件製備 4
2.2 電阻式記憶體陣列架構 5
2.3 電阻式記憶體設計之真亂數產生器介紹 6
2.4 電阻式記憶體設計之真亂數產生器優勢與劣勢 7
2.5 實驗設置 8
2.6 量測方式 9
2.6.1 形成操作(Forming Procedure) 9
2.6.2 重置操作(RESET Procedure) 9
2.6.3 設置設定(SET Procedure) 10
2.6.4 讀取操作 10
第三章 真亂數產生器之熵 15
3.1 介紹 15
3.2 熱雜訊(Thermal Noise) 15
3.3 隨機電報雜訊方程式 16
3.3.1 捕捉時間和釋放時間 16
3.3.2 缺陷深度(Trap Depth) 16
3.3.2 缺陷能量(Trap Energy) 17
3.4 隨機電報雜訊量測結果 18
3.4.1 捕捉時間和釋放時間 18
3.4.2 缺陷深度 18
3.4.2 缺陷能量 18
3.5 雜訊電流振幅 19
3.5.1 雜訊電流振幅量測結果 19
3.6 結論 20
第四章 量測結果 34
4.1 介紹 34
4.2電阻分布 35
4.3 馬賽克圖 35
4.4 外部漢明距離(Inter-Hamming Distance) 36
4.5 內部漢明距離(Intra-Hamming Distance) 36
4.6 漢明權重(Hamming Weight) 37
4.7 自相關函數(Autocorrelation Plot) 37
4.8 國家標準暨技術研究院 隨機性測試 38
第 5 章 總結 68
參考文獻 70
參考文獻 [1.1] S. Yu, W. Shim, X. Peng and Y. Luo, “RRAM for Compute-in-Memory: From Inference to Training,” in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 7, pp. 2753-2765, 2021, doi: 10.1109/TCSI.2021.3072200.
[1.2] T. W. Hickmott, “Low‐frequency negative resistance in thin anodic oxide films,” Journal of Applied Physics 33.9, vol33, no. 9, pp. 2669-2682, 1962, doi: 10.1063/1.1702530.
[1.3] J. G. Simmons and R. R. Verderbert, “New conduction and reversible memory phenomena in thin insulating films,” Proceedings of Royal Society of London., Series A, Mathematical and Physical Sciences, vol. 301, pp. 77 – 102, 1967, doi: 10.1098/rspa.1967.0191.
[1.4] G. Bersuker, D. C. Gilmer, D. Veksler, P. Kirsch, L. Vandelli, A. Padovani, L. Larcher, K. McKenna, A. Shluger, V. Iglesias, M. Porti, M. Nafria, “Metal oxide RRAM switching mechanism based on conductive filament microscopic properties,” in International Electron Devices Meeting, pp. 19.6.1-19.6.4, 2010, doi: 10.1063/1.3671565.
[1.5] S. Q. Liu, N. J. Wu, A. Ignatieva, “Electric-pulse-induced reversible resistance change effect in magnetoresistive films,” Applied Physic Letters, vol. 76, no. 19, pp. 2749 – 2751, 2000, doi: 10.1063/1.126464.
[1.6] Y. Deng, P. Huang, B. Chen, X. Yang, B. Gao, J. Wang, L. Zeng, G. Du, J. Kang, X. Liu, “RRAM crossbar array with cell selection device: A device and circuit interaction study,” in IEEE transactions on Electron Devices, pp. 719-726, 2012, doi: 10.1109/TED.2012.2231683.
[1.7] F. Zahoor, T. Z. A. Zulkifil, F. A. Khanday, A. A. Fida, “Low-power RRAM device based 1T1R array design with CNTFET as access device.” in IEEE Student Conference on Research and Development (SCOReD), pp. 280-283, 2019, doi: 10.1109/SCORED.2019.8896306.
[1.8] K. Sungho, J. Zhou, and W. Lu, “Crossbar RRAM arrays: Selector device requirements during write operation,” in IEEE Transactions on Electron Devices, vol. 61, no. 8, pp. 2820-2826, 2014, doi: 10.1109/TED.2014.2327514.
[1.9] P. Y. Chen, S. Yu, “Compact Modeling of RRAM Devices and Its Applications in 1T1R and 1S1R Array Design,” in IEEE Transactions on Electron Devices, vol. 62, no. 12, pp. 4022-4028, 2015, doi: 10.1109/TED.2015.2492421.
[1.10] G. Q. Bi, M. M Poo, “Synaptic modifications in cultured hippocampal neurons: dependence on spike timing, synaptic strength, and postsynaptic cell type,” Journal of neuroscience, pp. 10464-10472, 1998, doi: 10.1523/JNEUROSCI.18-24-10464.1998.
[1.11] A. Chen, “Comprehensive assessment of RRAM-based PUF for hardware security applications,” in IEEE International Electron Devices Meeting (IEDM), pp. 10.7.1-10.7.4, 2015, doi: 10.1109/IEDM.2015.7409672.
[1.12] M. S. Equbal, T. Ketkar and S. Sahay, “Hybrid CMOS-RRAM True Random Number Generator Exploiting Coupled Entropy Sources,” in IEEE Transactions on Electron Devices, vol. 70, no. 3, pp. 1061-1066, March 2023, doi: 10.1109/TED.2023.3241122.
[1.13] B. Lin, B. Gao, Y. Pang, J. Tang, H. Qian and H. Wu, “A Unified Memory and Hardware Security Module Based on the Adjustable Switching Window of Resistive Memory,” in IEEE Journal of the Electron Devices Society, vol. 8, pp. 1257-1265, 2020, doi: 10.1109/JEDS.2020.3019266.
[1.14] B. Gao, B. Lin, X. Li, J. Tang, H. Qian and H. Wu, “A Unified PUF and TRNG Design Based on 40-nm RRAM With High Entropy and Robustness for IoT Security,” in IEEE Transactions on Electron Devices., vol. 69, no. 2, pp. 536-542, 2022, doi: 10.1109/TED.2021.3138365.
[2.1] C. J. Lin, W. Y. Lee, C. J. Lin and Y. C. King, “3D Stackable Via RRAM Cells by Cu BEOL Process in FinFET CMOS Technologies,” 2020 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, 2020, pp. 31-32, doi: 10.1109/VLSI-TSA48913.2020.9203679.
[2.2] H. Y. Lee, P. S. Chen, C. C. Wang, S. Maikap, P. J. Tzeng, C. H. Lin, L. S. Lee, and M. J. Tsai, “Low-power switching of nonvolatile resistive memory
using Hafnium oxide,” Japanese Journal of Applied Physics., vol. 46, no. 4B, pp. 2175 – 2179, 2007, doi: 10.1143/JJAP.46.2175.
[2.3] R. Govindaraj, S. Ghosh and S. Katkoori, “CSRO-Based Reconfigurable True Random Number Generator Using RRAM,” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 12, pp. 2661-2670, Dec. 2018, doi: 10.1109/TVLSI.2018.2823274.
[2.4] B. Lin, B. Gao, Y. Pang, W. Zhang, J. Tang, H. Qian, H. Wu, “A High-performance and Calibration-free True Random Number Generator Based on the Resistance Perturbation in RRAM Array,” 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020, pp. 38.6.1-38.6.4, doi: 10.1109/IEDM13553.2020.9371891.
[2.5] K. Jan, S. Datta, “Probabilistic computing with p-bits,” Applied Physics Letters, volume 119, issue 15, pp. 150503.1-150593.7, 2021, doi: 10.1063/5.0067927.
[2.6] M. Zhao, H. Wu, B. Gao, X. Sun, Y. Liu, P. Yao, Y. Xi, X. Li, Q. Zhang, K. Wang, S. Yu, H. Qian, "Characterizing Endurance Degradation of Incremental Switching in Analog RRAM for Neuromorphic Systems," 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2018, pp. 20.2.1-20.2.4, doi: 10.1109/IEDM.2018.8614664.
[2.7] B. Gao, S. Yu, N. Xu, L. F. Liu, B. Sin, X. Y. Liu, R. Q Han, J. F. Kang, B. Yu, Y. Y. Wang, “Oxide-based RRAM switching mechanism: A new ion-transport-recombination model,” IEEE International Electron Devices Meeting, 2008, pp. 1-4, doi: 10.1109/IEDM.2008.4796751.
[2.8] R. Waser, R. Dittmann, G. Staikov, and K. Szot, “Redox-based resistive switching memories – nanoionics mechanisms, prospects, and challenges,” Advanced Materials, vol. 21, pp. 2632 – 2663, 2009, doi: 10.1002/adma.200900375.
[2.9] Z. Alamgir, K. Beckmann, J. S. Holt, N. C. Cady, “Pulse width and height modulation for multi-level resistance in bi-layer TaOx based RRAM,” Applied Physics Letters, vol. 111, issue 6, 2017, doi: 10.1063/1.4993058.
[2.10] B. Q. Le, A. Grossi, E. Vianello, T. Wu, G. Lama, E. Beigne, H. S. Wong, S. Mitra, “Resistive RAM With Multiple Bits Per Cell: Array-Level Demonstration of 3 Bits Per Cell,” in IEEE Transactions on Electron Devices, vol. 66, no. 1, pp. 641-646, 2019, doi: 10.1109/TED.2018.2879788.
[3.1] P. R. Saulson, “Thermal noise in mechanical experiments,” Physical Review D, vol. 42, issue 8, 1990, doi: 10.1103/PhysRevD.42.2437.
[3.2] D. Veksler, G. Bersuker, L. Vandelli, A. Padovani, L. Larcher, A. Muraviev, B. Chakrabarti, E. Vogel, D. C. Gilmer, P. D. Kirsch, “Random telegraph noise (RTN) in scaled RRAM devices,” IEEE International Reliability Physics Symposium (IRPS), pp. MY.10.1-MY.10.4, 2013, doi: 10.1109/IRPS.2013.6532101.
[3.3] N. Hubballi, M. Swarnkar and M. Conti, “BitProb: Probabilistic Bit Signatures for Accurate Application Identification,” in IEEE Transactions on Network and Service Management, vol. 17, no. 3, pp. 1730-1741, 2020, doi: 10.1109/TNSM.2020.2999856.
[3.4] W. Shockley, W. T. Read, Jr, “Statistics of the recombinations of holes and
electrons,” Physical Review Letters, vol. 87, pp. 835-842, 1952, doi: 10.1103/PhysRev.87.835.
[3.5] A. Yonezawa, A. Teramoto, T. Obara, R. Kuroda, S. Sugawa and T. Ohmi, “The study of time constant analysis in random telegraph noise at the subthreshold voltage region,” 2013 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2013, pp. XT.11.1-XT.11.6, doi: 10.1109/IRPS.2013.6532126.
[3.6] F. M. Puglisi, L. Larcher, A. Padovani and P. Pavan, “A Complete Statistical Investigation of RTN in HfO2-Based RRAM in High Resistive State,” in IEEE Transactions on Electron Devices, vol. 62, no. 8, pp. 2606-2613, 2015, doi: 10.1109/TED.2015.2439812.
[5.1] K. Yang, D. Blaauw and D. Sylvester, “A robust −40 to 120°C all-digital true random number generator in 40nm CMOS,” 2015 Symposium on VLSI Circuits (VLSI Circuits), Kyoto, Japan, 2015, pp. C248-C249, doi: 10.1109/VLSIC.2015.7231275.
[5.2] Y. Xiao, E. R. Hsieh, T. P. Chen, S. A. Huang, T. J. Chen, and S. S. Chung,
“Novel Concept of the Transistor Variation Directed Toward the Circuit Implementation of Physical Unclonable Function (PUF) and True-random-number-generator,” IEEE International Electron Devices Meeting (IEDM), 2019, pp. 21.5.1-21.5.4, doi: 10.1109/IEDM19573.2019.8993496.
[5.3] S. T. Chandrasekaran, V. E. G. Karnam and A. Sanyal, “0.36-mW, 52-Mbps True Random Number Generator Based on a Stochastic Delta–Sigma Modulator,” in IEEE Solid-State Circuits Letters, vol. 3, pp. 190-193, 2020, doi: 10.1109/LSSC.2020.3010901.
[5.4] B. Lin, B. Gao, Y. Pang, P. Yao, D. Wu, H. He, J. Tang, H. Qian, and H.Wu, “A High-Speed and High-Reliability TRNG Based on Analog RRAM for IoT Security Application,” IEEE International Electron Devices Meeting (IEDM), pp. 14.8.1-14.8.4, 2019, doi: 10.1109/IEDM19573.2019.8993486.
[5.5] Z. Wei, Y. Katoh, S.Ogasahara, Y. Yoshimoto, K. Kawai, Y. Ikeda, K. Eriguchi, K.Ohmori, S. Yoneda, "True Random Number Generator using Current Difference based on a Fractional Stochastic Model in 40-nm Embedded ReRAM," IEEE International Electron Devices Meeting (IEDM), pp. 4.8.1-14.8.4, 2016, doi: 10.1109/IEDM.2016.7838349.



[5.6] W. Y. Yang, B. Y. Chen, C. C. Chuang, E. R. Hsieh, K. S. Li and S. S. Chung, “Novel Concept of Hardware Security in Using Gate-switching FinFET Nonvolatile Memory to Implement True-Random-Number Generator,” 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020, pp. 39.3.1-39.3.4, doi: 10.1109/IEDM13553.2020.9371993.
[5.7] K. Yang, Q. Dong, Z. Wang, Y. C. Chin, J. Chang, D. Blaauw, D. Svlvester, “A 28NM Integrated True Random Number Generator Harvesting Entropy from MRAM,” 2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, 2018, pp. 171-172, doi: 10.1109/VLSIC.2018.8502431.
指導教授 謝易叡(E-Ray Hsieh) 審核日期 2024-1-11
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明