博碩士論文 110521055 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:44 、訪客IP:18.188.205.249
姓名 蘇煥翔(Huan-Hsiang Su)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 多阻態存取1T1R電阻式記憶體矩陣晶片的三態內容定址記憶體的設計和實現
(Design and Implementation A Multi-level per-bit 1T1R Resistive Memory-based Ternary Content Addressable Memories)
相關論文
★ 基於十六奈米鰭式場效電晶體平台實現通道轟擊電離編程機制之低成本高速嵌入式動態隨機存取記憶體★ 具有通道熱電子注入編程能力的40nm 4kb 1T OTP陣列的設計和實現
★ 通過虛擬源極傳輸模型對16-nm應變矽鰭式場效電晶體低溫準彈道傳輸的電性變化建模★ 基於閾值電壓電性擾動所實現之高速亂數產生率的40-nm 4T-SRAM真亂數產生器記憶體矩陣晶片
★ 新穎的多阻態之真亂數產⽣器由 40nm電阻式記憶體陣列實現★ 40奈米之電阻式記憶體陣列透過啟動/設置/重置操作物理不可複製功能的綜合研究
★ 新穎極小化高密度三維整體堆疊式1T-nF電流熔絲一次性編程記憶體晶片★ 混和訊號 1.6-3.6GHz 相位旋轉延遲鎖定迴路
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2028-12-20以後開放)
摘要(中) 隨著人工智慧(AI)發展,強調記憶體大容量與低功耗已經成為晶片設計的主流。為了達到記憶體大容量與低功耗的功能,在非揮發性記憶體中,快閃記憶體(FLASH)為主流,因為它擁有低成本低功耗的特性,但是讀寫速度較慢,近幾年隨著前瞻性記憶體技術快速發展(MRAM、FRAM、RRAM),相對於FLASH有較快讀寫能力與耐久性,其中以RRAM較小面積低功耗與製程簡單最為有發展性。
在本論文中,提出利用RRAM 1T1R array架構實現一種多位元(multi-bit)儲存方式,1T1R中1T電晶體(control transistor)為用來控制RRAM cell控制電荷開關,1R為金屬-金屬氧化層-金屬(MIM)的結構。利用連續線性漸進調變(gradual tuning)的方法操作SET跟RESET,可以有效地使得MULTI-BIT-STORAGE多位元的窗口擴展更開,也同時代表本設計的RRAM不論在低阻態(Low-Resistance state)或是高阻態(High-Resistance state)都可以達到多位元的儲存方式。這也有利於實現三態內容定址記憶體(Ternary Content Addressable Memories ,TCAM)的操作,因為TCAM需要儲存三種狀態,也就是所謂的邏輯”1”、邏輯”0”以及”don’t care”,我們將低阻態(Low Resistance State, LRS)定義為邏輯”1”,高阻態(High Resistance State, HRS)定義為邏輯”0”,我們只需要在LRS以及HRS之間再找一個穩定的阻態來定義”don’t care”就可以實現TCAM的操作。
與傳統基於SRAM所實現的TCAM相比,本設計容量為1-Mb1Mb的RRAM矩陣的記憶胞單位面積約為0.0079 um2,,在面積上RRAM小了許多,並且在低阻態與高阻態最多可以達到接近200倍的差距,在多位元儲存的表現中,低阻態(Low-Resistance state)可以儲存10種狀態,高阻態(High-Resistance state)可以儲存15種狀態,總共可以儲存25狀態,可以有效的提升傳統SRAM所做的TCAM儲存密度低的問題,在功耗上,RRAM在資料保存狀態下不需要刷新儲存單元的資料,因此在靜態功耗方面也下降很多。隨著RRAM技術的進一步發展與成熟,基於RRAM所做的TCAM可能在某些應用上具有更大的優勢。
摘要(英) With the development of Artificial Intelligence (AI), the emphasis on large memory capacity and low-power consumption has become the mainstream of chip design. In order to achieve high memory capacity and low-power consumption, NAND FLASH is the mainstream of non-volatile memory because of its low cost and low-power consumption, but its read and write speed is slower. With the rapid development of the emerging memory technologies recently, the RRAM has faster read and write capability and reliability , compared to NAND Flash memory. Therefore, the RRAM becomes the most promising candidate in terms of its smaller size, low-power consumption and simple manufacturing process.
In this thesis, we have proposed a multi-bit-storage technology using the RRAM 1-transistorand 1-RRAM array. The 1 transistor (T) in 1T1R is a control transistor to control access of the RRAM cell, and the 1 RRAM (R) is a metal-insulator-metal (MIM) structure. The use of continuous linear gradual tuning scheme to operating the SET and RESET operation can effectively extend the the memory window. The designed RRAM can achieve multi-bit storage in both low resistance states (LRS) and high resistance states (HRS). The multi-bit storage method is advantageous to realize the operation of Ternary Content Addressable Memories (TCAM) because the TCAM stores three states, including the logic “1”, the logic “0” and the “don’t care” state. We define the LRS as the logic “1” and the HRS as the logic “0”.As a result, we need to find a stable resistive state between the LRS and HRS to define the “don’t care” state to realize the operation of the TCAM.
In comparison of the traditional the SRAM-based TCAM, the size of the RRAM-based one is much smaller . The RRAM array with a capacity of 1Mb1-Mb with an unit area of 0.0079 um2, and the difference of the memory window between the low-resistance state and high-resistance state can be up to near 200 times. As far as the multi-bit storage performance is concerned, there are 10 states in the low-resistance states and 15 states in the high-resistance states, leading to total 25 states, which can effectively improve the storage density of the 1T1R RRAM array. With the further development and maturity of the mult-bit-storage 1T1R RRAM technology, RRAM-based TCAM may have a greater advantage in future in-memory-searching applications.
關鍵字(中) ★ 電阻式隨機存取記憶體
★ 非揮發性三元內容定址記憶體
★ 非揮發性記憶體
關鍵字(英)
論文目次 目錄
摘要........................................................................................................................................I
Abstract................................................................................................................................III
致謝...................................................................................................................................... V
圖目錄.............................................................................................................................. VIII
表目錄.................................................................................................................................IX
一、導論............................................................................................................................... 1
1.1 背景........................................................................................................................... 1
1.2 研究動機................................................................................................................... 3
1.3 論文架構................................................................................................................... 4
二、RRAM Three-bit per cell 介紹與 TCAM 應用............................................................ 7
2.1 Two-bit per cell 介紹 ............................................................................................... 7
2.2 CAM、TCAM 介紹................................................................................................ 7
2.3 SRAM TCAM 介紹.................................................................................................. 8
2.4 RRAM TCAM 介紹.................................................................................................. 9
2.5 實驗設置................................................................................................................ 10
三、1-Mb 1T-1R RRAM Array .......................................................................................... 16
3.1 介紹......................................................................................................................... 16
3.2 Unit Cell 結構........................................................................................................ 16
3.3 操作條件................................................................................................................ 18
3.4 1-Mb 1T-1R RRAM 矩陣架構.............................................................................. 19
3.5 電壓感測放大器.................................................................................................... 19
3.6 閃存型類比數位轉換器........................................................................................ 20
3.7 正電壓位準偏移器 (Positive Level Shifter) ........................................................ 21
四、實驗結果..................................................................................................................... 37
4.1 Shmoo 圖 (FORMing/SET/RESET/Read Shmoo Plot)......................................... 37
4.2 Unit Cell 電阻分布圖 ........................................................................................... 38
4.3 連續電阻漸進調變電阻分布圖 (Resistance Continually Gradual Tuning)........ 39
VII
4.4 Multi level cell 電阻分布圖 ................................................................................. 39
4.5 耐久度測試 (Endurance)...................................................................................... 40
4.6 資料保存時間 (Retention Time) .......................................................................... 41
4.7 記憶體輸出資料量測 (Output Data).................................................................... 42
五、結論............................................................................................................................. 59
參考文獻............................................................................................................................. 64
參考文獻 參考文獻
[1] Y. Nishi and J. R. Jameson, "Recent Progress in Resistance Change Memory," 2008
Device Research Conference, Santa Barbara, CA, USA, 2008, pp. 271-274, doi:
10.1109/DRC.2008.4800835.
[2] L. Chisvin and R. J. Duckworth, "Content-addressable and associative memory:
alternatives to the ubiquitous RAM," in Computer, vol. 22, no. 7, pp. 51-64, July 1989,
doi: 10.1109/2.30732.
[3] K. E. Grosspietsch, "Associative processors and memories: a survey," in IEEE Micro, vol.
12, no. 3, pp. 12-19, June 1992, doi: 10.1109/40.141599.
[4] P. Simoens, s, Farhan Azmat Ali, Bert Vankeirsbilck, Lien Deboosere, Filip De Turck and
Bart Dhoedt, "Cross-Layer Optimization of Radio Sleep Intervals to Increase Thin Client
Energy Efficiency," in IEEE Communications Letters, vol. 14, no. 12, pp. 1095-1097,
December 2010, doi: 10.1109/LCOMM.2010.100810.101450.
[5] S. Stas, "Associative processing with CAMs," Proceedings of NORTHCON′93 Electrical
and Electronics Convention, Portland, OR, USA, 1993, pp. 161-167, doi:
10.1109/NORTHC.1993.505050.
[6] K. Pagiamtzis and A. Sheikholeslami, "Content-addressable memory (CAM) circuits and
architectures: a tutorial and survey," in IEEE Journal of Solid-State Circuits, vol. 41, no.
3, pp. 712-727, March 2006, doi: 10.1109/JSSC.2005.864128Churoo Park et al., "A 512
Mbit, 1.6 Gbps/pin DDR3 SDRAM prototype with C/sub 10/ minimization and selfcalibration techniques," Digest of Technical Papers. 2005 Symposium on VLSI Circuits,
2005., 2005, pp. 370-373, doi: 10.1109/VLSIC.2005.1469407.
[7] S. Matsunaga, Sadahiko Miura, Hiroaki Honjou, Keizo Kinoshita, Shoji Ikeda, Tetsuo
Endoh, Hideo Ohno and Takahiro Hanyu, "A 3.14 um2
4T-2MTJ-cell fully parallel TCAM
based on nonvolatile logic-in-memory architecture," 2012 Symposium on VLSI Circuits
(VLSIC), Honolulu, HI, USA, 2012, pp. 44-45, doi: 10.1109/VLSIC.2012.6243781.
65
[8] Li-Yue Huang, Meng-Fan Chang, Ching-Hao Chuang, Chia-Chen Kuo, Chien-Fu Chen,
Geng-Hau Yang , Hsiang-Jen Tsai , Tien-Fu Chen , Shyh-Shyuan Sheu , Keng-Li Su4,
Frederick T. Chen , Tzu-Kun Ku , Ming-Jinn Tsai , and Ming-Jer Kao , "ReRAM-based
4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speedwordlength-capacity for normally-off instant-on filter-based search engines used in bigdata processing," 2014 Symposium on VLSI Circuits Digest of Technical Papers,
Honolulu, HI, USA, 2014, pp. 25-26, doi: 10.1109/VLSIC.2014.6858404.
[9] D. R. B. Ly, B. Giraud , J-P Noel1 , A. Grossi , N. Castellani , G. Sassine , J-F Nodin , G.
Molas , C. Fenouillet Beranger, G. Indiveri , E. Nowak and E. Vianello, "In-depth
Characterization of Resistive Memory-Based Ternary Content Addressable
Memories," 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco,
CA, USA, 2018, pp. 20.3.1-20.3.4, doi: 10.1109/IEDM.2018.8614603.
[10] Y. -C. Shih, Chia-Fu Lee, Yen-An Chang, Po-Hao Lee, Hon-Jarn Lin, Yu-Lin Chen, KuFeng Lin, Ta-Ching Yeh, Hung-Chang Yu, Harry Chuang, Yu-Der Chih and Jonathan
Chang, "Logic Process Compatible 40NM 16MB, Embedded Perpendicular-MRAM with
Hybrid-Resistance Reference, Sub-μA Sensing Resolution, and 17.5NS Read Access
Time," 2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, 2018, pp. 79-80,
doi: 10.1109/VLSIC.2018.8502260.
[11] S. Khanna, S. Bartling, M. Clinton, S. Summerfelt, J. Rodriguez and H. McAdams, "Zero
leakage microcontroller with 384ns wakeup time using FRAM mini-array
architecture," 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC), Singapore,
2013, pp. 21-24, doi: 10.1109/ASSCC.2013.6690972.
[12] C. -C. Chou, Zheng-Jun Lin, Chien-An Lai, Chin-I Su, Pei-Ling Tseng, Wei-Chi Chen,
Wu-Chin Tsai, Wen Ting Chu, Tong-Chern Ong, Harry Chuang, Yu-Der Chih and TsungYung Jonathan Chang, "A 22nm 96KX144 RRAM Macro with a Self-Tracking Reference
and a Low Ripple Charge Pump to Achieve a Configurable Read Window and a Wide
Operating Voltage Range," 2020 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA,
2020, pp.CM2.4.1-CM2.4.2, doi: 10.1109/VLSICircuits18222.2020.9163014.
[13] S. Matsunaga, H. Sato , S. Fukami , M. Natsui, A. Mochizuki , S. Ikeda, T. Endoh, H.
Ohno, and T. Hanyu, "Fabrication of a 99%-energy-less nonvolatile multi-functional
CAM chip using hierarchical power gating for a massively-parallel full-text-search
engine," 2013 Symposium on VLSI Technology, Kyoto, Japan, 2013, pp. C106-C107.
66
[14] J. Li, Robert Montoye, Masatoshi Ishii, Kevin Stawiasz , Takeshi Nishida , Kim Maloney,
Gary Ditlow , Scott Lewis, Tom Maffitt, Richard Jordan, Leland Chang, Peilin Song,
"1Mb 0.41 µm2
2T-2R cell nonvolatile TCAM with two-bit encoding and clocked selfreferenced sensing," 2013 Symposium on VLSI Circuits, Kyoto, Japan, 2013, pp. C104-
C105.
[15] W. Xu, T. Zhang and Y. Chen, "Design of Spin-Torque Transfer Magnetoresistive RAM
and CAM/TCAM with High Sensing and Search Speed," in IEEE Transactions on Very
Large Scale Integration (VLSI) Systems, vol. 18, no. 1, pp. 66-74, Jan. 2010, doi:
10.1109/TVLSI.2008.2007735.
[16] V. Lines, Abdullah Ahmed, Peter Ma, Stanley Ma, Robert McKenzie, Hong-Seok Kim,
Cynthia Mar, "66 MHz 2.3 M ternary dynamic content addressable memory," Records of
the IEEE International Workshop on Memory Technology, Design and Testing, San Jose,
CA, USA, 2000, pp. 101-105, doi: 10.1109/MTDT.2000.868622.
[17] H. Noda, Kazunari Inoue, Masayuki Kuroiwa, Futoshi Igaue, Kouji Yamamoto, Hans
Jürgen Mattausch, , Tetsushi Koide, , Atsushi Amo, Atsushi Hachisuka, Shinya Soeda,
Isamu Hayashi, Fukashi Morishita, Katsumi Dosaka, Kazutami Arimoto, Kazuyasu
Fujishima, Kenji Anami and Tsutomu Yoshihara, "A cost-efficient high-performance
dynamic TCAM with pipelined hierarchical searching and shift redundancy architecture,"
in IEEE Journal of Solid-State Circuits, vol. 40, no. 1, pp. 245-253, Jan. 2005, doi:
10.1109/JSSC.2004.838016.
[18] K. Inoue, T. -T. Hoang, X. -T. Nguyen, H. -T. Nguyen and C. -K. Pham, "VLSI Design of
Frequent Items Counting Using Binary Decoders Applied to 8-bit per Item Casestudy," 2018 14th Conference on Ph.D. Research in Microelectronics and Electronics
(PRIME), Prague, Czech Republic, 2018, pp. 161-164, doi:
10.1109/PRIME.2018.8430308.
[19] K. Jabeur, I. O′Connor, N. Yakymets and S. Le Beux, "High performance 4:1 multiplexer
with ambipolar double-gate FETs," 2011 18th IEEE International Conference on
Electronics, Circuits, and Systems, Beirut, Lebanon, 2011, pp. 677-680, doi:
10.1109/ICECS.2011.6122365.
[20] D. K. Kushwaha and M. K. Singh, "A Wide-Range Low Voltage Wilson Current Mirror
based Reflected-Output for Swift and Cost-effective Level Shifter," 2021 International
Conference on Control, Automation, Power and Signal Processing (CAPS), Jabalpur,
India, 2021, pp. 172-175, doi: 10.1109/CAPS52117.2021.9730629.
67
[21] S. R. Vemu, P. S. S. N. Mowlika and S. Adinarayana, "An energy efficient and high speed
double tail comparator using cadence EDA tools," 2017 International Conference on
Algorithms, Methodology, Models and Applications in Emerging Technologies
(ICAMMAET), Chennai, India, 2017, pp. 297-304,
doi:10.1109/ICAMMAET.2017.8186675.
[22] V. Hiremath and S. Ren, "A novel ultra high speed reconfigurable switching encoder for
Flash ADC," Proceedings of the 2011 IEEE National Aerospace and Electronics
Conference (NAECON), Dayton, OH, USA, 2011, pp. 320-323, doi:
10.1109/NAECON.2011.6183124
[23] N. Otsuka and M. A. Horowitz, "Circuit techniques for 1.5-V power supply flash
memory," in IEEE Journal of Solid-State Circuits, vol. 32, no. 8, pp. 1217-1230, Aug.
1997, doi: 10.1109/4.604078.
[24] D. Raiteri, P. van Lieshout, A. van Roermund and E. Cantatore, "Positive-Feedback Level
Shifter Logic for Large-Area Electronics," in IEEE Journal of Solid-State Circuits, vol.
49, no. 2, pp. 524-535, Feb. 2014, doi: 10.1109/JSSC.2013.2295980.
[25] J. Zhou, C. Wang, X. Liu, X. Zhang and M. Je, "A fast and energy-efficient level shifter
with wide shifting range from sub-threshold up to I/O voltage," 2013 IEEE Asian SolidState Circuits Conference (A-SSCC), Singapore, 2013, pp. 137-140, doi:
10.1109/ASSCC.2013.6691001.
[26] Kyoung-Hoi Koo, Jin-Ho Seo, Myeong-Lyong Ko and Jae-Whui Kim, "A new level-up
shifter for high speed and wide range interface in ultra deep sub-micron," 2005 IEEE
International Symposium on Circuits and Systems (ISCAS), Kobe, Japan, 2005, pp. 1063-
1065 Vol. 2, doi: 10.1109/ISCAS.2005.1464775.
[27] K. Baker and J. von Beers, "Shmoo plotting: the black art of IC testing," Proceedings
International Test Conference 1996. Test and Design Validity, Washington, DC, USA,
1996, pp. 932-933, doi: 10.1109/TEST.1996.557162..
[28] H. Aziza, S. Hamdioui, M. Fieback, M. Taouil and M. Moreau, "Density Enhancement of
RRAMs using a RESET Write Termination for MULTI-BIT-STORAGE
Operation," 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Grenoble, France, 2021, pp. 1877-1880, doi: 10.23919/DATE51398.2021.9473967.
[29] J. Reuben and D. Fey, "A Time-based Sensing Scheme for Multi-level Cell (MULTI-BITSTORAGE) Resistive RAM," 2019 IEEE Nordic Circuits and Systems Conference
(NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), Helsinki,
Finland, 2019, pp. 144-150, doi: 10.1109/NORCHIP.2019.8906921.
68
[30] S. R. Lee, Young-Bae Kim, Man Chang, Kyung Min Kim, Chang Bum Lee, Ji Hyun Hur,
Gyeong-Su Park, Dongsoo Lee, Myoung-Jae Lee, Chang Jung Kim, U-In Chung, InKyeong Yoo and Kinam Kim, "Multi-level switching of triple-layered TaOx RRAM with
excellent reliability for storage class memory," 2012 Symposium on VLSI Technology
(VLSIT), Honolulu, HI, USA, 2012, pp. 71-72, doi: 10.1109/VLSIT.2012.6242466.
[31] B. Chakrabarti, R. V. Galatage and E. M. Vogel, "Multilevel Switching in FORMing-Free
Resistive Memory Devices With Atomic Layer Deposited HfTiOx Nanolaminate,"
in IEEE Electron Device Letters, vol. 34, no. 7, pp. 867-869, July 2013, doi:
10.1109/LED.2013.2262917.
[32] Liang Zhao, S. Menzel, D. J. Wouters and R. Waser, "Improved multi-level control of
RRAM using pulse-train programming," Proceedings of Technical Program - 2014
International Symposium on VLSI Technology, Systems and Application (VLSI-TSA),
Hsinchu, Taiwan, 2014, pp. 96-97, doi: 10.1109/VLSI-TSA.2014.6839673..
[33] W. Kim, S. Menzel, D. J. Wouters, R. Waser and V. Rana, "3-Bit Multilevel Switching by
Deep RESET Phenomenon in Pt/W/TaOX/Pt-ReRAM Devices," in IEEE Electron
Device Letters, vol. 37, no. 5, pp. 564-567, May 2016, doi: 10.1109/LED.2016.2542879.
指導教授 謝易叡 審核日期 2023-12-21
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明