博碩士論文 83343011 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:29 、訪客IP:18.222.182.195
姓名 傅明南(Ming-Nan Fu)  查詢紙本館藏   畢業系所 機械工程學系
論文名稱 化學機械研磨之數值與實驗模擬研究
相關論文
★ 化學機械研磨流場模擬實驗研究★ 變轉速之旋轉塗佈實驗研究
★ 微小熱點之主動式冷卻★ 大尺寸晶圓厚膜塗佈
★ 科氏力與預塗薄膜對旋轉塗佈之影響★ 微液滴對微熱點之 冷 卻
★ 大尺寸晶圓之化學機械研磨實驗研究★ 液晶顯示器旋轉塗佈研究
★ 流體黏度對旋塗減量之影響★ 微熱點與微溫度感測器製作
★ 高溫蓄熱器理論模擬★ 熱氣泡式噴墨塗佈
★ 注液模式對旋轉塗佈之影響★ 磁流體旋塗不穩定之研究
★ TFT-LCD狹縫式塗佈研究★ 彩色濾光片噴塗研究
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 研磨墊間極小的間隙內對晶片上的薄膜進行均勻性的研磨動作。而本論文的研究是著重在化學機械研磨製程流場的研究,包括數值模擬及實驗研究兩部份。
首先,於數值模擬方面;提出三維流場模擬研磨液在化學機械研磨製程的流動特性。由於研磨速率與研磨液在晶圓表面上的剪應力有關,因此,可利用電腦模擬出化學機械研磨流場變化的剪應力分析晶圓表面上的移除率及非均勻性。結果顯示在晶圓表面上的剪應力及非均勻性與現今之移除率及非均勻性的實驗數據有相同趨勢,而且此結果更符合使用較硬研磨墊的研磨情況,本文的貢獻在於首次預測出在相同旋轉速度當晶圓尺寸較大時而非均勻性較小,這說明為何12吋晶圓可用較高的旋轉速度。
至於實驗研究方面;本文的貢獻在於首次提出一研磨液注入位置與注入流量的通用最佳化,本最佳化方法適用於研究CMP製程中各種操作參數性能,建立四個不同操作參數分別為研磨墊轉速、研磨液注入位置、晶圓轉速、研磨液質量流率個別參數之影響。並量測研磨液在不同注入位置與不同轉速下進入間隙的量與分佈,並找出最佳的注入位置與注入量。並製作一CMP流場模擬機,使用一玻璃圓盤取代晶圓如此便能作流場觀測及影像處理,至於研磨墊則使用Rodel SUBA 400及Rodel POLITEX DG等兩種研磨墊,而光源則使用汞弧燈,並使用CCD攝影機取像,另將採用灰階度(Gray Scale)方法將CCD影像圖由影像擷取軟體處理。結果顯示在最佳注入位置與注入量,有較高移除率及較低非均勻性;並與上述電腦數值模擬比較,顯示兩者有相同趨勢結果,進而顯示在軟的研磨墊較硬的研磨墊之移除率為低,但其非均勻性較低。
摘要(英) First part is the numerical simulation. We present a three-dimensional fluid dynamics simulation for chemical mechanical planarization (CMP) processes. The slurry shearing stress on the wafer surface is usually considered to be closely related to the polishing rate. To our knowledge, this is the first work to address the wafer-scale removal rate and nonuniformity based on flow simulation. The simulation results for the trends of wafer-scale-averaged slurry shearing stress and nonuniformity agree with those of existing removal rates and nonuniformity data. The present simulation can be used to analyze or predict the characteristics of removal rate and nonuniformity in the CMP processes particular in those hard pad materials. The present predictions show that the nonuniformity value decreases with increasing wafer diameter for a fixed set of pad and wafer rotation speeds. This may explain why the recent CMP work for 12-inch wafer may operate at a high pad rotation speed.
Again, the present experimental study is the first work to investigate the theological properties of the slurry between the pad and wafer by an optical technique to find out the optimum condition for slurry injection position and injection rate so that a high removal rate and uniformity can be obtained. The four chosen working
parameters of the CMP include the pad rotation speed, slurry injection position, wafer rotation speed, and slurry mass flow. A CMP simulator will be used to study the slurry transports on the surface of pad and in the gap between the wafer and pad. A glass wafer that is transparent to visible light will be used instead of silicon wafer. A Rodel Suba 400 pad with 27” diameter and a Rodel Politex DG pad with 22” diameter will be used as the polishing pad. Commercially available slurry will be used. In the slurry, there are a lot of nano-particles, which will reflect the light imposed. A mercury-arc lamp with wavelength of 4046.56 Å will be used as the light source. A CCD camera will be used to capture the resulting reflected light from the slurry in the inter-pad-wafer region. By the use of image processing technique, a 256 gray-scale-level image of the slurry flow can be generated. The simulation results of wafer-scale-averaged slurry shearing stress and nonuniformity also agree in trend with the data of mean gray scale and nonuniformity for a 200 mm wafer. The present flow diagnostics technique can be further used for the optimization of slurry injection rate and injection position to obtain a higher removal rate as well as a low nonuniformity.
關鍵字(中) ★ 化學機械研磨
★ 晶圓載具
★ 研磨墊
★ 研磨液
★ 移除率
★ 非均勻性
★ CCD 攝影機
★ 灰階度
關鍵字(英) ★ Chemical mechanical polishing
★ Slurry
★ Wafer carrier
★ Polishing pad
★ Removal rate
★ Nonuniformity
★ CCD camera
★ Gray scale
論文目次 TABLE OF CONTENTS
CHINESEABSTRACT…………………………………………………………...Ⅰ
ENGLISH ABSTRACT…………………………………………………………..
ACKNOWLEDGE……………………………………………………………….Ⅲ

TABLE OF CONTENTS…………………………………………………………Ⅵ
LIST OF FIGURES……………………………………………………………… Ⅷ
NOMENCLATURE………………………………………………………………XII
CHAPTER 1 INTRODUCTION……………………………………………….1
1.1 Research Motivation and Objective……………...……………….………1
1.2 Literature Review…………………………………………………………3
1.2.1 CMP Polishing Mechanism Description……………………………3
1.2.2 CMP Flow Simulation………………………………………………7
1.2.3 CMP Flow Experiments ………………………………………...8
CHAPTER 2 FLOW SIMULATION FOR CHEMICAL MECHANICAL POLISHING……..……………………………………………...
14
2.1 Theoretical Analysis………………………………………………………14
2.2 Numerical Method………………………………………………………...16
2.2.1 Software and Hardware Structure…………………………………..16
2.2.2 Grid System…………………………………………………………17
2.2.3 Discretisation of the equation……………………………………….18
2.3 Results and Discussion……………………………………………………19
2.4 Concluding Remarks……………………………………………………...23
CHAPTER 3 SLURRY TRANSPORTS DURING CHEMICAL
MECHANICAL POLISHING…………………………………..49
3.1 Experimental Apparatus…………………………………………………..49
3.2 Image Analysis Method…………………………………………………...51
3.3 Experimental Procedures…………………………………………………53
3.4 Numerical Simulation……………………………………………………..54
3.5 Results and Discussion……………………………………………………54
3.6 Concluding Remarks……………………………………………………...58
CHAPTER 4 A GENERAL OPTIMIZATION FOR SLURRY INJECTION
DURING CHEMICAL MECHANICAL POLISHING…………73
4.1 Experimental Apparatus…………………………………………………..73
4.2 Results and Discussion……………………………………………………75
4.3 Concluding Remarks……………………………………………………...79
CHAPTER 5 CONCLUSION AND RECOMMENDATION………………….94
REFERENCE……………………………………………………………………..98
APPENDIX: EXPERIMENTAL DATA………………………………………..107
BIOGRAPHY AND PUBLICATION……………………………………………117
參考文獻 1. S. Sivaram, H. Bath, R. Leggett, A. Maury, K. Moning and R. Tolles, “Planarizing interlevel dielectrics by chemical mechanical polishing”, Solid State Technology, 35, 87 (May 1992).
2. A. C. Adams and C. D. Capio, “Planarization of phosphorous-doped silicon dioxide”, J. Electrochem. Soc., 128, 423 (1981).
3. L. K. White, “Planarization properties of resistant polyimide coatings”, J. Electrochem. Soc., 130, 1543 (1983).
4. L. Debruin and J. M. Laarhoven, “Advanced multiple-step resist etchback planarization”, in Proc. IEEE VMIC Conference, 404 (1988).
5. R. H. Wilson and P. A. Piacente, “Effect of circuit structure on resist thickness”, J. Electrochem. Soc., 133, 981 (1986).
5. R. H. Wilson and P. A. Piacente, “Effect of circuit structure on resist thickness”, J. Electrochem. Soc., 133, 981 (1986).
7. A. Schiltz and M. Pons, “Two-layer planarization process”, J. Electrochem. Soc., 133, 178 (1986).
8. A. N. Saxena and D. Pramanik, “Planarization techniques for multilevel metallization”, Solid State Technology, 29, 95 (1986).
9. D. B. Tuckerman and A. H. Weiberg, “Planarization of gold and aluminum thin films using a pulsed laser”, Solid State Technology, 29, 129 (1986).
10. S. K. Gupta and R. I. Chin, “Characterisation of spin-on-glass films as a planarizing dielectric”, in Proc. ACS Symp., 22, 295 (1986).
11. A. Schiltz, “Advantages of using spin-on-glass layer in intermediate dielectric planarization”, in Proc. Microcircuit Eng. Conference, 5, 413 (1986).
12. L. B. Rothman, “Process for forming passivated metal interconnection system with a planar surface”, J. Electrochem. Soc., 130, 1131 (1983).
13. H. Fritzsche and N. Ibaraki, “Etching of tapered via holes in sandwiched dielectric interlayer for multilevel metallization”, in Proc. IEEE VMIC Conference, 253, (1985).
14. E. R. Sirkin and I. A. Blech, “A method of forming contacts between two conducting layers separated by a dielectric”, J. Electrochem. Soc., 131, 123, (1984).
15. P. Sanseau, A. Schiltz, G. Rabilloud and L. Verdet, “Improve lift-off pillar fabrication technique using a polyphenylquinozaline polymer”, J. Electrochem. Soc., 17, 170 (1988).
15. P. Sanseau, A. Schiltz, G. Rabilloud and L. Verdet, “Improve lift-off pillar fabrication technique using a polyphenylquinozaline polymer”, J. Electrochem. Soc., 17, 170 (1988).
17. I. Ali, R. Sudipto and R. Shinn, “Chemical polishing of interlayer dielectric”, Solid State Technology, 63 (Oct. 1994).
17. I. Ali, R. Sudipto and R. Shinn, “Chemical polishing of interlayer dielectric”, Solid State Technology, 63 (Oct. 1994).
19. T. Izumitani, “Polishing mechanism of optical glasses”, Glass Technology, 12, 131 (1971).
20. M. Tomozawa, and K. Hirao, “Diffusion of water into oxides during microhardness indenttation”, J. of Materials Science Letters, 867 (1987).
21. F. W. Preston, “The theory and design of plate glass polishing machines”, J. Soc. Glass Technology, 11, 214 (1927).
22. J. F. Archard, “Contact and rubbing of flat surface”, J. Appl. Phys., 24, 981 (1953).
23. M. Tomozawa, “Oxide CMP mechanism”, Solid State Technology, 169, (July 1997).
24. Joseph H. Tylczak and Albany Oregon, “ASM Handbook: friction, lubrication and wear technology”, 18, 184 (1990).
25. T. W. Healy, G. R. Wiese, D. E. Yates and B. V. Kavanagh, “Heterogulation in mixed oxide colloidal dispersion”, J. Colloid and Interface Science, 42, 647 (1973).
25. T. W. Healy, G. R. Wiese, D. E. Yates and B. V. Kavanagh, “Heterogulation in mixed oxide colloidal dispersion”, J. Colloid and Interface Science, 42, 647 (1973).
27. L. M. Cook., “Chemical process in glass polishing”, J. of Non-Crystalline Solids, 152 (1990).
28. C. Millot, “Geology of clay”, Springer-Uerrlag, New York, 55 (1970).
28. C. Millot, “Geology of clay”, Springer-Uerrlag, New York, 55 (1970).
28. C. Millot, “Geology of clay”, Springer-Uerrlag, New York, 55 (1970).
28. C. Millot, “Geology of clay”, Springer-Uerrlag, New York, 55 (1970).
polishing”, IEEE transactions on Electron Devices, 43, 739 (May 1996).
32. Denny A. Jone, “Principles and prevention of corrosion”, Macmillan Publishing Company, New York, 49 (1991).
33. Ingemar Olefjord and Anders Nylund, “Surface analysis of oxidized aluminum”, Surface and Interface Analysis, 21, 290 (1994).
34. Y. L. Wang, J. Wu, C. W. Liu, T. C. Wang and Dun Jowei, “Material characteristics and chemical mechanical polishing of aluminum alloy thin films”, Thin Solid Film, 397 (1998).
34. Y. L. Wang, J. Wu, C. W. Liu, T. C. Wang and Dun Jowei, “Material characteristics and chemical mechanical polishing of aluminum alloy thin films”, Thin Solid Film, 397 (1998).
34. Y. L. Wang, J. Wu, C. W. Liu, T. C. Wang and Dun Jowei, “Material characteristics and chemical mechanical polishing of aluminum alloy thin films”, Thin Solid Film, 397 (1998).
34. Y. L. Wang, J. Wu, C. W. Liu, T. C. Wang and Dun Jowei, “Material characteristics and chemical mechanical polishing of aluminum alloy thin films”, Thin Solid Film, 397 (1998).
34. Y. L. Wang, J. Wu, C. W. Liu, T. C. Wang and Dun Jowei, “Material characteristics and chemical mechanical polishing of aluminum alloy thin films”, Thin Solid Film, 397 (1998).
39. D. Stein, D. Hetherington, M. Dugger and T. Stout, “Optical interferometry for surface measurements of CMP pads”, J. Electronic Materials, 25, 1623 (1996).
39. D. Stein, D. Hetherington, M. Dugger and T. Stout, “Optical interferometry for surface measurements of CMP pads”, J. Electronic Materials, 25, 1623 (1996).
39. D. Stein, D. Hetherington, M. Dugger and T. Stout, “Optical interferometry for surface measurements of CMP pads”, J. Electronic Materials, 25, 1623 (1996).
42. C. Yi, W. C. Tu, S. Hsieh and H. C. Chen, “Comparison between W-CMP and WEB for contact plug/via formation” Proc. of VIMIC Specialty Conference on CMP Planarization, 107 (1997).
42. C. Yi, W. C. Tu, S. Hsieh and H. C. Chen, “Comparison between W-CMP and WEB for contact plug/via formation” Proc. of VIMIC Specialty Conference on CMP Planarization, 107 (1997).
42. C. Yi, W. C. Tu, S. Hsieh and H. C. Chen, “Comparison between W-CMP and WEB for contact plug/via formation” Proc. of VIMIC Specialty Conference on CMP Planarization, 107 (1997).
45. E. Tseng, C. Yi, H. C. Chen, “ A mechanical model for DRAM dielectric chemical mechanical polishing process” Proc. of VIMIC Specialty Conference on CMP Planarization, 258 (1997).
46. H. W. Chiou, L. J. Chen and H. C. Chen, “On monitoring CMP removal rate by in-situ temperature measurements”, Proc. of VIMIC Specialty Conference on CMP Planarization, 131 (1997).
47. H. W. Chiou and L. J. Chen, “Proportional -integral-derivative (PID) run to run control of CMP removal rate”, Proc. of VIMIC Specialty Conference on CMP Planarization, 375 (1997).
48. H. Hocheng H. Y. Tsai and l. J. Chen, ”A kinematic analysis of CMP based on velocity model”, Proc. of VIMIC Specialty Conference on CMP Planarization,
277 (1997).
277 (1997).
50. B. Withers, E. Zhao, W. Krusell, R. Jairath and S. Hosali, “Wide margin CMP for STI”, Solid State Technology, 173 (July 1998).
51. G. Nanz and L. E. Camilletti, “Modeling of chemical-mechanical polishing : a review”, IEEE Transaction Semiconductor Manufacturing, 8, 382 (1995).
52. S. R. Runnels and L. M. Eyman, “Tribology analysis of chemical-mechanical polishing”, J. Electrochem. Soc., 141, 1698 (1994).
53. S. R. Runnels, “Feature-Scale fluid-based erosion modeling for chemical mechanical polishing”, J. Electrochem. Soc., 141, 1900 (1994).
54. S. R. Runnels, “Advance in physically based erosion simulators for CMP”, J. Electronic Materials, 25, 1574 (1996).
55. S. R. runnels and T. Olavson, ”Optimizing wafer polishing through phenomenological modeling”, J. Electrochem. Soc., 142, 2032 (1995).
56. J. Warnock, “Two-dimensional process model for chemimechanical polish planarization”, J. Electrochem. Soc., 138, 2398 (1991).
57. F. C. Chou, M. N. Fu and L. J. Chen, “A comprehensive CMP fluid dynamics 3-D simulation”, Proc. of VMIC conference, 175 (1997).
58. D. P. Y. Bramono and L. M. Racz, “Numerical flow visualization of slurry in a chemical mechanical planarization process”, Proc. of CMP-VMIC, 185 (1998).
59. C. Rogers, L. Racz, J. Coppeta, A. Philipossian, F. B. Kaufman and D. Bramono, “Analysis of flow between a wafer and pad during CMP processes”, J. Electron.
Mater., 27, 1082 (1998).
Mater., 27, 1082 (1998).
61. C. Srinivasa-Murthy, D. Wang, S. P. Beaudoin, T. Bibby, K. Holland and T. S. Cale, “Stree distribution in chemical mechanical polishing”, Thin Solid Films, 308-309, 533 (1997).
62. C. S. Murthy, D. Wang, S. Beaudoin and T. Cale, “Non-uniformity in CMP process: An effect of stress”, Procs. of VMIC Specialty Conference on CMP Planarization, 281 (1997).
63. D. Wang, J. Lee, K. Holland, T. Bibby, S. Beaudoin and T. Cale, “Von Mises stress in chemical mechanical polishing processes”, J. Electrochem. Soc., 144, 1121 (1997).
64. C. W. Liu, B. T. Dai, W. T. Tseng and C. F. Yeh, “Modeling of the wear mechanism during chemical mechanical polishing”, J. Electrochem. Soc., 143, 716 (1996).
64. C. W. Liu, B. T. Dai, W. T. Tseng and C. F. Yeh, “Modeling of the wear mechanism during chemical mechanical polishing”, J. Electrochem. Soc., 143, 716 (1996).
66. J. Coppeta, C. Rogers, A. Philipossian and F. B. Kaufman, “Characterizing slurry flow during CMP using laser induced fluorescence”, Proc. of CMP-MIC Conference, 307 (1997).
66. J. Coppeta, C. Rogers, A. Philipossian and F. B. Kaufman, “Characterizing slurry flow during CMP using laser induced fluorescence”, Proc. of CMP-MIC Conference, 307 (1997).
Santa Clara, CA, USA, 36 (1998).
Santa Clara, CA, USA, 36 (1998).
69. Z. Stavreva, D. Zeidler, M. Plotner, and K. Drescher, “Characteristics in chemical mechanical polishing of copper: comparison of polishing pads”, Appl. Surf. Sci., 108, 39 (1997).
69. Z. Stavreva, D. Zeidler, M. Plotner, and K. Drescher, “Characteristics in chemical mechanical polishing of copper: comparison of polishing pads”, Appl. Surf. Sci., 108, 39 (1997).
71. M. N. Fu and F. C. Chou, “Flow simulation for chemical mechanical planarization”, Jpn. J. Appl. Phys., 38, 4709 (1999).
72. A. Modak, P. Monteith and N. Parekh, “Components of within-wafer non-uniformity in a dielectric CMP process”, Proc. of CMP-MIC Conference, 169 (1997).
72. A. Modak, P. Monteith and N. Parekh, “Components of within-wafer non-uniformity in a dielectric CMP process”, Proc. of CMP-MIC Conference, 169 (1997).
74. CFX-F3D, Version 4.1, Computational Fluid Dynamics Services, UK, (1995).
75. C. M. Rhie and W. L. Chow, “Numerical study of the turbulent flow pass an airfoil with trailing edge separation”, AIAA JI, 21, 1527 (1983).
76. J. P. Van Doormaal and G. D. Raithby, “Enhancements of the simple method for predicting incompressible fluid flows”, Numerical Heat Transfer, 7, 147 (1984).
77. T. F. A. Bibby, R. Harwood, D. Schey and K. McKinley, “Cartesian coordinate
maps for chemical mechanical planarization uniformity characterization”, Thin
Solid Film, 308-309, 512 (1997).
Solid Film, 308-309, 512 (1997).
79. Data Sheet of Rodel Inc.
指導教授 周復初(Fu-Chu Chou) 審核日期 2000-10-1
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明