博碩士論文 93541019 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:77 、訪客IP:3.146.178.230
姓名 洪凱尉(Kai-Wei Hong)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 全數位式高解析度快速鎖定時脈同步電路之設計與實現
(Design and Implementation of All-Digital High Precision Fast Locking Clock Synchronization Circuits)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨著系統晶片(System-on-chip, SoC)的蓬勃發展,其時脈訊號的同步也將成為一個重要的問題。由於人類通訊需求的進步,SoC也大量的被應用於行動手持通訊系統中。為了增加使用時間以及增加電池壽命,因此在手持系統中,降低功率消耗將會是一個被重視的問題。在系統應用中,為了將低功率消耗,會使用頻率調整技術或將部分應用規格晶片(Application-Specific Integrated Chips, ASICs)或矽晶片(Silicon Intellectual Properties (IPs)進入休眠模式。因此能快速鎖定的全數位式時脈同步電路很適合應用於低功率消耗的系統中。
傳統的同步鏡射電路 (Conventional Synchronous Mirror Delay, CSMD)是一種全數位式時脈同步電路,其可在兩個週期內完成時脈的同步,且架構簡單。很適合應用於低功率消耗的系統中且不用佔用太大的面積。然而,CSMD沒辦法接收超過50%責任週期的時脈訊號、鎖定後的靜態相位誤差也較差、操作範圍狹窄以及容易被負載效應所影響。上述的缺點,限制了CSMD在系統中的應用。
本文提出了四個以CSMD為基本的全數位式時脈同步電路,其保留了CSMD的優點同時改善了CSMD的缺點。第一,我們需要解決輸入脈波寬度的問題。我們改良了傳統的鏡射控制電路,我們使用D型正反器(D-type Flip-Flop, DFF)取代了AND邏輯閘,由於DFF為一邊緣觸發電路,因此改良式的鏡射控制電路可有效的改善輸入脈波寬度的問題。第二,在電路初步鎖定後,我們加入了旋轉式控制的微調機制,來偵測輸入以及輸出訊號的相位差,在進行細部的相位調整,達成改善相位誤差的目的,同時應用在動態頻率調整系統。第三,我們使用了一個新型的架構來解決負載效應的影響。此架構可偵測輸出驅動器受到負載的影響後,進而控制延遲線來校正輸出負載的影響。最後,為了增加輸出範圍且又不需增加太多的面積,我們使用了頻率選擇器來增加電路操作範圍。因此我們所提出的時脈同步電路很適合應用於SoC或低功率消耗需求的系統中。
摘要(英) Nowadays, the complexity and operating frequency of System-on-a-Chips (SoCs) are growing with the evolution of the CMOS technology. The clock synchronization will become a serious problem in an SoC. The SoCs are widely applied in portable electronic device. The portable electronic devices require chips with low power consumption in order to make the battery life longer. Some application-specific integrated chips (ASICs) or silicon intellectual properties (IPs) might enter a hibernation mode or use a dynamic frequency to conserve energy. The all-digital clock synchronization circuits achieves fast locking, therefore, they are suitable to apply in SoCs.
The conventional synchronous mirror delay (CSMD) circuit is a kind of digital clock synchronization circuits. The CSMD circuit with a simple structure synchronizes the clock in two clock cycles. The CSMD circuit is suitable as a digital clock synchronization circuit in SoCs. The CSMD, however, cannot accept the clock signal with more than 50% duty cycle, has poor static phase error, has narrow operating range, and is affected by the output loading effect. These problems limit the application of the CSMD.
This thesis proposes four clock synchronization circuits based on CSMD. The proposed circuits keep the advantages of CSMD and overcome the disadvantages of CSMD. First, a modified the mirror control circuit (MMCC) utilizes the D-type flip-flops instead of AND gates to solve the input duty cycle problem. The MMCC overcomes the input duty cycle problem because the DFF is an edge-trigger device. Second, a cyclic-based fine tune circuit detects the phase error between input and output signals after coarse locking. The fine tune circuit enhances the static phase error and achieves dynamic phase error compensation. Third, a new topology is used against the output loading effect. The new topology detects and calibrates the variations caused by output loading effect. Finally, a frequency selector is used to extend the operating range. The frequency selector not only has a small area but also extends the operating range. The proposed synchronization circuits suitable apply in the SoCs or the low power requirement systems to eliminate the clock skew.
關鍵字(中) ★ 全數位式時脈同步電路
★ 全數位式延遲鎖定迴路
★ 高解析度
★ 快速鎖定
關鍵字(英) ★ High Precision
★ All-Digital Delay-Locked Loop
★ Fast Locking
★ ADDLL
★ All-Digital Clock Synchronization Circuit
論文目次 摘要......................................................i
Abstract................................................iii
誌謝......................................................v
Contents................................................vii
Figure Captions................................................xii
Table Captions...............................................xvii
Chapter 1 Introduction.....................................1
1.1 Demand of Clock Synchronization..................1
1.2 Motivation.......................................3
1.3 Thesis Organization..............................5
Chapter 2 Fundamentals of Clock Synchronization Circuits...7
2.1 Clock Synchronization Circuits...................7
2.1.1 Analog Clock Synchronization Circuits............7
2.1.2 All-Digital Clock Synchronization Circuits.......8
2.2 Analog Clock Synchronization Circuits............8
2.2.1 Phase-Locked Loop................................8
2.2.2 Delay-Locked Loop...............................11
2.3 All-Digital Clock Synchronization Circuits......13
2.3.1 All-Digital Phase-Locked Loop...................13
2.3.2 All-Digital Delay-Locked Loop...................16
2.4 Synchronous Mirror Delay (SMD) Circuit..........17
2.4.1 Conventional SMD Circuit........................17
2.4.2 Interleaved SMD Circuit.........................20
2.4.3 Direct De-skew SMD Circuit......................21
2.4.4 Successive Approximation Register SMD Circuit...22
2.4.5 Arbitrary Duty Cycle SMD circuit................23
2.5 Summary.........................................24
Chapter 3 A Dynamic Tracking and Phase Error Compensation Clock De-skew Buffer.....................................26
3.1 Dynamic Tracking Clock De-skew Buffer...........26
3.1.1 Proposed DTCSB Architecture.....................26
3.1.2 Operation and Timing Analysis...................27
3.2 Implementation of DTCSB.........................30
3.2.1 Coarse Tune Structure...........................30
3.2.2 Modified Mirror Control Delay...................31
3.2.3 Dynamic Phase Error Compensation................31
3.2.4 Fine Tune Structure.............................32
3.3 Simulation Results..............................35
3.3.1 System Simulation Results.......................35
3.4 Measurement Environment Setup and Experiment Results..................................................37
3.4.1 Concept.........................................37
3.4.2 Measurement Environment Setup...................37
3.4.3 Experiment Results..............................38
Chapter 4 A High Precision Fast Locking Arbitrary Duty Cycle Clock Synchronization Circuit......................43
4.1 High Precision Fast Locking Clock Synchronization Circuit..................................................43
4.1.1 Proposed HPCS Architecture......................43
4.1.2 Operation and Timing Analysis...................45
4.2 Implementation of HPCS..........................49
4.2.1 Coarse and fine delay paths.....................49
4.2.2 Coarse tune Control Circuit.....................50
4.2.3 Fine tune Control Circuit.......................50
4.3 Simulation Results..............................53
4.3.1 System Simulation Results.......................53
4.3.2 Monte Carlo Analysis............................55
4.4 Measurement Environment Setup and Experiment Results..................................................58
4.4.1 Concept.........................................58
4.4.2 Measurement Environment Setup...................58
4.4.3 Experiment Results..............................58
Chapter 5 An All-Digital Clock Synchronization Buffer with One Cycle Dynamic Synchronizing..........................64
5.1 One Cycle Dynamic Synchronizing Clock Synchronization Buffer...................................64
5.1.1 Proposed DSCSB Architecture.....................65
5.1.2 Timing and Operation Analysis...................66
5.2 Implementation of DSCSB.........................70
5.2.1 Coarse Delay path...............................70
5.2.2 Coarse Tune Control Circuit.....................70
5.2.3 Fine Tune Structure.............................71
5.2.4 Dynamic Phase Error Compensation................71
5.3 Simulation Results..............................72
5.3.1 System Simulation Results.......................73
5.3.2 Monte Carlo Analysis............................74
5.4 Measurement Environment Setup and Experiment Results..................................................78
5.4.1 Concept.........................................78
5.4.2 Measurement Environment Setup...................79
5.4.3 Experiment Results..............................79
Chapter 6 A Wide-Range and Fast Locking All-Digital Delay Lock Loop................................................86
6.1 Wide-Range and Fast Locking All-Digital Delay Locked Loop..............................................86
6.1.1 Proposed WRADDLL Architecture...................86
6.1.2 Timing and Operation Analysis...................88
6.2 Implementation of WRADDLL.......................93
6.2.1 Coarse Digital-Controlled Delay Line............93
6.2.2 Error Generator and Time to Digital Converter...94
6.2.3 Frequency Selector..............................94
6.2.4 Fine Tune Structure.............................95
6.3 Simulation Results..............................95
6.3.1 System Simulation Results.......................95
6.3.2 Monte Carlo Analysis............................97
6.4 Measurement Environment Setup and Experiment Results..................................................98
6.4.1 Concept.........................................98
6.4.2 Measurement Environment Setup...................99
6.4.3 Experiment Results..............................99
Chapter 7 Conclusions and Future Works...................106
7.1 Conclusions....................................106
7.2 Future Works...................................109
References..............................................110
Publication List........................................117
Journal.................................................117
Conference..............................................118
參考文獻 References
[1] H. Kondo, S. Otani, M. Nakajima, O. Yamamoto, N. Masui, N. Okumura, M. Sakugawa, M. Kitao, K. Ishimi, M. Sato, F. Fukuzawa, S. Imasu, N. Kinoshita, Y. Ota, K. Arimoto, and T. Shimizu, “Heterogeneous multicore SoC with SiP for secure multimedia applications,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2251-2258, Aug. 2009.
[2] J.-L.Shin, D. Huang, B. Petrick., C. Hwang, K.-W. Tam, A. Smith, H. Pham, H. Li, T. Johnson, A.-S. Leon, and A. Strong, “A 40 nm 16-core 128-thread SPARC SoC processor,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 131-143, Jan. 2011.
[3] Y. Elboim, A. Kolodny, and R. Ginosar, “A clock-tuning circuit for system-on-chip” IEEE Trans. On Very Large Scale Integr. Syst., vol. 11, no. 1, pp. 616-626, Aug. 2003.
[4] K. Lee, S.-J. Lee, and H.-J. Yoo, “Low-power network-on-chip for high-performance SoC design” IEEE Trans. On Very Large Scale Integr. Syst., vol. 14, no. 2, pp. 148-160, Feb. 2006.
[5] K.-H. Ryu, D.-H. Jung, and S.-O. Jung, “A DLL based clock generator for low-power mobile SoCs,” IEEE Transactions on Consumer Electronics, Vol. 56, No. 3, pp. 1950-1956, August 2010.
[6] K. Takeuchi, A. Yoshikawa, M. Komoda, K. Kotani, H. Matsushita, Y. Katsuki, Y. Yamamoto, and T. Sato, “Clock-skew test module for exploring reliable clock-distribution under process and global voltage-temperature variations,” IEEE Trans. On Very Large Scale Integr. Syst., vol. 16, no. 11, pp. 1559-1566, Nov. 2008.
[7] W.-W. Si, D. Weber, S.-A. Alibeik, M.-L. Lee, R. Chang, H. Dogan, H. Gan, Y. Rajavi, S. Luschas, S. Ozgur, P. Husted, and M. Zargari,” A single-chip CMOS bluetooth v2.1 radio SoC,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2896-2904, Dec. 2008.
[8] W.-R. White, M. Bugbee, S. Dobbs, X. Wu, R. Balmford, J. Nuttgens, U.-S. Kiani, R. Clegg, and G.-W. den Besten, “A robust high speed serial PHY architecture with feed-forward correction clock and data recovery,” IEEE J. Solid-State Circuits, vol. 44, no. 7, pp. 1914-1926, Jul. 2009.
[9] B. Zhai, D. Blaauw, D. Sylvester, and K. Flautner, “The limit of dynamic voltage scaling and insomniac dynamic voltage scaling,” IEEE Trans. On Very Large Scale Integr. Syst., vol. 13, no. 11, pp. 1239-1252, Nov. 2005.
[10] K.-J. Nowka, G.-D. Carpenter, E.-W. MacDonald, H.-C. Ngo, B.-C. Brock, K.-I. Ishii, T.-Y. Nguyen, and J.-L. Burns, “A 32-bit power PC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1441-1447, Nov. 2002.
[11] K. Nose, A. Shibayama, H. Kodama, M. Mizuno, M. Edahiro, N. Nishil, “Deterministic inter-core synchronization with periodically all-in-phase clocking low-power multi-core SoCs,” in Proc. IEEE Int. Solid-State Circuits Conference, San Francisco, 2002, pp. 671-674.
[12] M. Barai, S. Sengupta, and J. Biswas, “Digital controller for DVS-enabled DC–DC converter,” IEEE Transactions on Power Electronic, Vol. 25, NO. 3, pp. 557-573, Mar. 2010.
[13] T. Saeki, Y. Nakaoka, M. Fujita, A. Tanaka, K. Nagata, K. Sakakibara, T. Matano, Y. Hoshino, K. Miyano, S. Isa, S. Nakazawa, E. Kakehashi, J.M. Drynan, M. Komuro, T. Fukase, H. Iwasaki, M. Takenaka, J. Sekine, M. Igeta, N. Nakanishi, T. Itani, I. Yoshida, K. Yoshino, S. Hashimoto, T. Yoshii, M. Ichinose, T. Imura, M. Uziie, S. Kikuchi, K. Koyama, Y. Fukuzo and T. Okuda, “A 2.5-ns clock access, 250-MHz, 256-Mb SDRAM with synchronous mirror delay,” IEEE J. Solid-State Circuits, vol. 31, no. 11, pp. 1656-1668, Nov. 1996.
[14] T. Seaeki, H. Nakamura and J. Shimizu, “A 10 ps jitter 2 clock cycle lock time CMOS digital clock generator based on an interleaved synchronous mirror delay scheme,” in Proc. IEEE Symp. VLSI Circuits Dig. Tech. Papers, Tokyo, 1997, pp. 109-110.
[15] K. Sung, B.-D. Yang and L.-S. Kim, “Low power clock generator based on an area-reduced interleaved synchronous mirror delay scheme,” in Proc. IEEE Int. Symp. on Circuits and Systems, Scottsdale, 2002. Vol. 3, pp. 671-674.
[16] T. Saeli, K. Minami, H. Yoshida and H. Suzuki, “A direct-skew-detect synchronous mirror delay for application-specific integrated circuits,” IEEE J. Solid-State Circuits, vol. 34, no. 3, pp. 372-379 ,Mar 1999.
[17] K. Sung and L.-S. Kim, “A high-resolution synchronous mirror delay using successive approximation register,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1997-2004, Nov. 2004.
[18] K.-H. Cheng, C.-L. Hung and C.-L. Wu, “Arbitrary duty cycle synchronous mirror delay circuits design,” in Proc. IEEE Asian Solid-State Circuits Conference, Hangzhou, 2006, pp. 283-286.
[19] Y.-M. Wang and J.-S. Wang, “A low-power half-delay-line fast skew compensation circuit,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 906-918, Jun. 2004.
[20] J.-G. Maneatis, “Low-jitter process-independent DLL and PLL based on self-biased techniques,” IEEE J. Solid-State Circuits, vol. 31, pp. 1723-1732, Nov. 1996.
[21] B. Razavi, “Design of analog CMOS integrated circuits,” New York:McGraw-Hill, 2001.
[22] W. Rhee, “Design of high-performance CMOS charge pumps in phase-locked loops”, IEEE International Symposium on Circuits and Systems, vol. 2, Jun. 1999, pp. 545-548.
[23] T.-C. Lee and B. Razavi, "A stabilization technique for phase-pocked frequency synthesizers", IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 888-894 , Jun. 2003.
[24] W.-O. Keese, "An analysis and performance evaluation of a passive filter design techniques for charge pumps PLL’s,” National Semiconductor application note, July 2001.
[25] B. Razavi, “A study of phase noise in CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 31, Issue 3, pp. 331-343, Mar. 1996.
[26] Y.-W. Kim and J.-D. Yu, “Phase noise model of single loop frequency synthesizer,” IEEE J. Solid-State Circuits, vol. 35, no. 10, pp.1437-1444, Oct. 2000.
[27] C.-H. Lee, K. McClellan, and J. Choma, “A supply noise insensitive PLL design through PWL behavioral modeling and simulation,” IEEE Trans. Circuits Syst. II: Expr. Briefs, vol. 48, no. 12, pp. 1137-1144, Dec. 2001
[28] K.-H. Cheng, and Y.-L. Lo, “A fast-lock mixed-mode DLL with wide-range operation and multiphase outputs,” IEEE Trans. Circuits Syst. II: Expr. Briefs, vol. 54, no. 7, pp. 561-565, Jul. 2007.
[29] S.-I. Liu and C.-Y. Tang, “Phase-locked loop,” 滄海書局, 2006.
[30] M. Lee, and A.-A. Abidi, “A 9 b, 1.25 ps resolution coarse-fine time-to-digital converter in 90 nm CMOS that amplifies a time residue,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 769-777, Apr. 2008.
[31] V. Kratyuk, “Digital phase-locked loops for multi-GHz clock generation,” OSU Ph. D. Thesis, Dec. 2006.
[32] K.-F. Un, P.-I. Mak, and R.-P. Martins, “Analysis and design of open-loop multiphase local-oscillator generator for wireless applications,” IEEE Trans. Circuits Syst. I: Reg. Papers, vol. 57, no. 5, pp. 970-981, May 2010.
[33] K.-H. Choi, J.-B. Shin, J.-Y. Sim, and H.-J. Park, “An interpolating digitally-controlled oscillator for a wide-range all-digital PLL,” IEEE Trans. Circuits Syst. I: Reg. Papers, vol. 56, no. 9, pp. 2055-2063, Sep. 2009.
[34] C.-C. Chung, and C.-Y. Lee, “An all-digital phase-locked loop for high-speed clock generation,’’ IEEE J. Solid-State Circuits, vol. 38, no. 2, pp. 347-351, Feb. 2003.
[35] P.-L. Chen, C.-C. Chung, and C.-Y. Lee, “A portable digitally controlled oscillator using novel varactors,’’ IEEE Trans. Circuits Syst. II: Expr. Briefs, vol. 52, no. 5, pp. 233-237, May 2005.
[36] F. Lin, and R.-J. Baker, “A register-controlled symmetrical DLL for double-data-rate DRAM,” IEEE J. Solid-State Circuits, vol. 34, Apr. 1999.
[37] H.-W. Lee, W.-J. Yun, Y.-K. Choi, H.-H. Choi, J.-J. Lee, K.-H. Kim, S.-D. Kang, J.-Y. Yang, J.-S. Kang, H.-O. Lee, D.-U. Lee, S. Sim, Y.-J. Kim, W.-J. Choi, K.-S. Song, S.-H. Shin, H.-W. Moon, S.-W. Kwack, J.-W. Lee, N.-K. Park, K.-W. Kim, Y.-J. Choi, J.-H. Ahn, and B.-T. Chung, “A 1.6V 3.3Gb/s GDDR3 DRAM with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm CMOS,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Paper, 2009, pp. 140-141
[38] W.-J. Yun, H.-W. Lee, D. Shin, and S. Kim, “A 3.57 Gbs low jitter all-digital DLL with dual DCC circuit for GDDR3 DRAM in 54-nm CMOS technology,” IEEE Trans. On Very Large Scale Integr. Syst., accepted for future publication, Forthcoming, 2010.
[39] T. Hamamoto, Y. Konishi, and T. Yoshihara, “A 667Mb/s operating digital DLL architecture for 512Mb DDR SDRAM,” IEEE J. Solid-State Circuits, vol. 39, no. 1, Jan. 2004.
[40] G.-K. Dehng, and S.-I. Lin, “A fast-lock mixed-mode DLL using a 2-b SAR algorithm,” IEEE J. Solid-State Circuits, vol. 36, no. 10, Oct. 2001.
[41] C.-C. Chung and C.-Y. Lee, “A new DLL-based approach for all-digital multiphase clock generation,” IEEE J. Solid-State Circuits, vol. 39, no. 3, Mar. 2004.
[42] R.-J. Yang and S.-I. Liu, “A 40-550MHz harmonic-free all-digital delay-locked loop using a variable SAR algorithm,” IEEE J. Solid-State Circuits, vol. 42, no. 2, Feb. 2007.
[43] Y.-J. Wang, S.-K. Kao, and S.-I. Liu, “All-digital delay-locked loop/pulsewidth-control loop with adjustable duty cycles,” IEEE J. Solid-State Circuits, vol. 41, no. 6, Jun. 2006.
[44] T. Matano, Y. Takai, and K. Koyama, “A 1Gb/s/pin 512Mb DDR2 SDRAM using a digital DLL and a slew-rate-controlled output buffer,” IEEE J. Solid-State Circuits, vol. 38, no. 5, Jan. 2003.
[45] R.-J. Yang and S.-I. Liu, “A 2.5GHz all-digital delay-locked loop in 0.13μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 42, no. 2, Feb. 2007.
[46] D. Shim, D.-Y. Lee, S. Jung, C.-H. Kim and W. Kim, “An analog synchronous mirror delay for high-speed DRAM application,” IEEE J. Solid-State Circuits, vol. 34, no. 4, pp. 484-493, Apr. 1999.
[47] K.-H. Cheng, C.-W. Su and S.-W. Lu, “Wide-range synchronous mirror delay with arbitrary input duty cycle,” IEE ELECTRONICS LETTERS, vol.44, no. 11, pp. 655-667, May. 2008.
[48] M.-Y. Kim, D. Shin, H. Chae, and C. Kim, “A low-jitter open-loop all-digital clock generator with two-cycle lock-time,” IEEE Trans. On Very Large Scale Integr. Syst, vol. 17, no. 10, pp. 1461-1469, Oct. 2009.
[49] K.-H. Cheng, K.-W. Hong, C.-H. Chen, and J.-C. Liu, “A high precision fast locking arbitrary duty cycle clock synchronization circuit,” IEEE Trans. On Very Large Scale Integr. Syst, vol.09, no. 7, pp. 1218-1228, 2011.
[50] J. Yuan and C. Svensson, “High-speed CMOS circuit technique,” IEEE J. Solid-State Circuits, vol. 24, no. 1, pp. 62-70, Feb. 1989.
[51] P. Larsson and C. Svensson, “Impact of clock slope on true single phase clocked (TSPC) CMOS circuits,” IEEE J. Solid-State Circuits, vol. 29, no. 9, pp. 723-726, Jun. 1994.
[52] HSPICE User Guide: Analyzing electrical yields, Ver. C-2009.03, Mar., 2009.
[53] A.-M. Fahim, “A compact, low-power low-jitter digital PLL,” in Proc. European Solid-State Circuits Conference, Estoril, 2003, pp. 101 - 104.
[54] K.-J Hsiao and T.-C. Lee, “An 8-GHz to 10-GHz distributed DLL for multiphase clock generation”, IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2478 – 2487, Sept. 2009.
[55] S.-K. Kao, B.-J. Chen, and S.-I. Liu, “A 62.5–625-MHz anti-reset all-digital delay-locked loop,” IEEE J. Solid-State Circuits, vol. 54, no. 7, pp. 566-570, Jul. 2007.
[56] D. Shin, J. Song, H. Chae, and C. Kim, “A 7 ps Jitter 0.053 mm2 fast lock all-digital DLL with a wide range and high resolution DCC,” IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2437-2451, Sep. 2009.
[57] J.-S. Wang, C.-Y. Cheng, J.-C. Liu, Y.-C. Liu, and Y.-M. Wang, “A duty-cycle-distortion-tolerant half-delay-line low-power fast-lock-in all-digital delay-locked loop,” IEEE J. Solid-State Circuits, vol. 45, no. 5, pp. 1036-1047, May 2010.
[58] M. Zanuso, P. Madoglio, S. Levantino, C. Samori, and A. L. Lacaita, “Time-to-digital converter for frequency synthesis based on a digital bang-bang DLL,” IEEE Trans. Circuits Syst. II: Reg. Papers, vol. 57, no. 3, pp. 548-555, Mar. 2010.
[59] S. Hoyos, C.-W. Tsang, J. Vanderhaegen, Y. Chiu, Y. Aibara, H. Khorramabadi, and B. Nikolic, “A 15 MHz to 600 MHz, 20 mW, 0.38 mm2 split-control, fast coarse locking digital DLL in 0.13 m CMOS,” IEEE Trans. On Very Large Scale Integr. Syst, accepted for future publication, Forthcoming, 2011.
[60] Y.-S. Kim, S.-K. Lee, H.-J. Park, and J.-Y. Sim, “A 110 MHz to 1.4 GHz locking 40-phase all-digital dLL,” IEEE J. Solid-State Circuits, vol. 46, no. 2, pp. pp. 435-444, Feb. 2010.
指導教授 鄭國興(Kuo-Hsing Cheng) 審核日期 2011-7-15
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明