博碩士論文 955201008 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:7 、訪客IP:3.21.231.245
姓名 謝維哲(Wei-jer Ross Hsieh)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 多核心系統晶片之診斷方法
(Diagnosis Approaches for Multi-Core System Chips)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產
★ 應用於貪睡靜態記憶體之有效診斷與修復技術★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案
★ 應用於隨機存取記憶體之有效良率及可靠度提升技術★ 應用於特殊半導體記憶體之測試與可靠性設計技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 由於高效能與高可靠性的需求,多核心系統設計已成為一種趨勢;而診斷此多核心系統之電路與掃描鏈來提高良率是一個很重要的問題。在本論文中,我們提出一個新的錯誤IP或核心和錯誤測試向量辨識電路,用於自我測試掃描鏈之多核心晶片上;同時提出一個加強掃描鏈診斷方法,用於診斷掃描鏈啟動訊號的錯誤。辨識電路使用多數決電路的概念,在進行晶片內部測試之同時,進行錯誤的評估與比較。而加強掃描鏈診斷方法著重於兩種掃描鏈啟動訊號的錯誤行為:一種是固定在平移模式的錯誤,而另一種錯誤是固定在捕捉模式的錯誤。對固定在平移模式的錯誤,我們提出兩種診測方法:一種是將輸入的測試圖像平移一位元作為模擬錯誤行為圖像進行比較;另一種是直接與正確的核心進行比較。對固定在捕捉模式的錯誤,我們提出使用錯誤字典技術、折合比較評分法、統計分析與簡化過的信號輪廓技術。將錯誤訊息與記錄在錯誤字典中訊息進行比較評分,以找尋可能的錯誤位置,並用統計分析與簡化過的信號輪廓技術補強,比較評分法後,挑出分數太低的錯誤訊息。
實驗結果顯示,我們提出的診斷電路架構不但不會增加太多的面積,卻可大幅降低收集測試資訊所需的時間。在掃描鏈診斷方面,我們診斷固定在平行模式的錯誤方法,對於我們所測試的電路有非常良好的效果;而利用診斷固定在捕捉模式的錯誤方法雖無法達到前者那樣好的效能,但依舊可得到相當不錯的結果。
摘要(英) Multi-core architecture with built-in self-test (BIST) has become a design trend for VLSI chips due to their needs of high performance and high reliability. Efficient approaches for diagnosing fail cores and scan chains thus are imperative for yield enhancement. We propose in this thesis not only a new failing intellectual property and vector identification circuit (FIPVIC) for identifying failing cores and vectors of a scan-BIST multi-core chip but also an enhanced scan chain diagnosis scheme for scan-enable fault. The FIPVIC design uses the concepts of voter of the triple-module-redundancy (TMR) design and on-chip evaluation and comparison to find out which core and vector failed to the BIST test. The enhanced scan chain diagnosis scheme focuses on two diagnoses of scan-enable faults: the stuck-at-shift (SAS) fault and the stuck-at-capture (SAC) fault. In order to diagnose stuck-at shift fault, we propose two diagnosis methods that either use shifting-1-bit process to match the faulty behavior pattern or use direct comparison approach which compares the faulty response with the golden pattern. For diagnosing stuck-at capture fault, we propose a diagnosis method that uses fault dictionary-based diagnosis technique, convolution comparison score technique, statistics analysis, and simplified signal profiling technique. We create the dictionary by storing the scan output responses and use convolution comparison score technique to compare signatures of test response then calculate the score of each fault candidate cells. At last, statistics analysis and simplified signal profiling technique are used to supply for scoring the signature, which gets too low scores in convolution comparison. Experimental results show that the proposed FIPVIC for multi-core chip can efficiently (only took half time of the typical one) identify the failing core and vector with a small amount of area overhead. The proposed diagnosis approach for SAS can very precisely locate the faulty scan cell for most simulated benchmarks. Although the proposed approach for SAC cannot do as well as the approach for SAS, it still get quiet high success rate.
關鍵字(中) ★ 多核心系統晶片診斷
★ 掃描鏈診斷
關鍵字(英) ★ Multi-core Diagnosis
★ Scan Chain Diagnosis
★ Scan enable fault
論文目次 Chapter 1 Introduction 1
1.1 Significance of This Work 1
1.2 Problem Description 2
1.3 Motivation 4
1.4 Organization of the Thesis 6
Chapter 2 Reviews (or Concepts) of Scan-BIST Diagnosis and Scan Chain Diagnosis 7
2.1 Scan-BIST Diagnosis 7
2.2 Scan Chain Diagnosis 10
2.2.1 Scan Chain Faults 10
2.2.2 Typical Scan Chain Diagnosis Flow 16
Chapter 3 Identification of Failing Cores and Vectors of Scan-BISTed Multi-Core Chips 18
3.1 Multi-Core Chip Diagnosis 18
3.2 Architecture of FIPVIC 21
3.3 Comparison Module 23
3.4 Mapping Encoder 24
3.4.1 Analysis of Aliasing Probability 26
3.5 Coder 28
3.6 Analysis Cycle and Diagnostic Data Volume 29
3.7 An Example 31
3.7.1 Comparison 34
Chapter 4 An Enhanced Scan Chain Diagnosis Scheme 36
4.1 Proposed Scan Chain Diagnosis Flow 36
4.2 Stuck-at-Shift Fault Diagnosis 37
4.2.1 Test and Response Comparison Approach 37
4.2.2 Miter-Model-Based Approach 39
4.3 Stuck-at-Capture Fault Diagnosis 40
4.3.1 Diagnostic Test Application 41
4.3.2 Construction of Fault Dictionary 43
4.3.3 Fault Dictionary-Based Diagnosis Approach for Single SAC Fault 45
4.3.4 Statistics Analysis 47
4.3.5 Simplified Signal Profiling Technique 48
4.4 Experimental Results 49
4.4.1 Results of Stuck-at-Shift Fault Diagnosis Method 49
4.4.1.1 Single-Faults Diagnosis 49
4.4.1.2 Multiple Faults 52
4.4.2 Results of Stuck-at-Capture Fault 57
Chapter 5 Conclusion and Future Work 62
References 64
參考文獻 [1] T. W. Burger, "Intel® Multi-Core Processors: Quick Reference Guide," August 2005, http://cache-www.intel.com/cd/00/00/23/19/231912_231912.pdf.
[2] C. E. Stroud, "Automated BIST for sequential logic synthesis," IEEE Design & Test of Computers, vol. 5, pp. 22-32, 1988.
[3] B. Koenemann, T. Mucha, and G. Zwiehoff, "Built in logic block observation techniques," in IEEE International Test Conference, 1979.
[4] W. H. McAnney and J. Savir, "There is information in faulty signatures," in IEEE International Test Conference, 1987.
[5] A. L. Crouch, "Debugging and diagnosing scan chains," in Electronic Device Failure Analysis, EDFAS. vol. 7, 2005, pp. 16-24.
[6] W.-T. Cheng, M. Sharma, T. Rinderknecht, L. Lai, and C. Hill, "Signature based diagnosis for logic BIST," in IEEE International Test Conference, 2007, pp. 1-9.
[7] W.-T. Cheng, K.-H. Tsai, Y. Huang, N. Tamarapalli, and J. Rajski, "Compactor independent direct diagnosis," in Asian Test Symposium, 2004, pp. 204-209.
[8] J. Ghosh-Dastidar, D. Das, and N. A. Touba, "Fault diagnosis in scan-based BIST using both time and space information," in IEEE International Test Conference, 1999, pp. 95-102.
[9] J. Savir and W. H. McAnney, "Identification of failing tests with cycling registers," in IEEE International Test Conference, 1988, pp. 322-328.
[10] I. Bayraktaroglu and A. Orailoglu, "Improved fault diagnosis in scan-based BIST via superposition," in ACM/IEEE, Design Automation Conference, 2000, pp. 55-58.
[11] B. Keller and T. Bartenstein, "Use of MISRs for compression and diagnostics," in IEEE International Test Conference, 2005, p. 9 pp.
[12] J. Rajski and J. Tyszer, "Fault diagnosis in scan-based BIST," in IEEE International Test Conference, 1997, pp. 894-902.
[13] J. Rajski and J. Tyszer, "Diagnosis of scan cells in BIST environment," IEEE Transactions on Computers, vol. 48, pp. 724-731, 1999.
[14] J. Ghosh-Dastidar and N. A. Touba, "A rapid and scalable diagnosis scheme for BIST environments with a large number of scan chains," in IEEE VLSI Test Symposium, 2000, pp. 79-85.
[15] Y. Wu and S. M. I. Adham, "Scan-based BIST fault diagnosis," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, pp. 203-211, 1999.
[16] P. Wohl, J. A. Waicukauski, S. Patel, and G. Maston, "Effective diagnostics through interval unloads in a BIST environment," in ACM/IEEE, Design Automation Conference, 2002, pp. 249-254.
[17] R. Ubar, S. Kostin, J. Raik, T. Evartson, and H. Lensen, "Fault diagnosis in integrated circuits with BIST," in Euromicro Conference on Digital System Design Architectures, Methods and Tools, 2007, pp. 604-610.
[18] T. Clouqueur, O. Ercevik, and K. K. Saluja, "Efficient signature-based fault diagnosis using variable size windows," in 14th International Conference on VLSI Design, 2001, pp. 391-396.
[19] S. Pateras, "Embedded diagnosis IP," in Design, Automation and Test in Europe Conference and Exhibition, 2002, pp. 242-243.
[20] A. Bar-Noy, F. K. Hwang, I. Kessler, and S. Kutten, "A new competitive algorithm for group testing," in IEEE INFOCOM '92, 11th Annual Joint Conference of the IEEE Computer and Communications Societies, 1992, pp. 786-793 vol.2.
[21] L. Chunsheng and K. Chakrabarty, "Failing vector identification based on overlapping intervals of test vectors in a scan-BIST environment," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, pp. 593-604, 2003.
[22] Y. Huang, R. Guo, W.-T. Cheng, and J. C.-M. Li, "Survey of scan chaindiagnosis," IEEE Design & Test of Computers, vol. 25, pp. 240-248, 2008.
[23] K. De and A. Gunda, "Failure analysis for full-scan circuits," in IEEE International Test Conference, 1995, pp. 636-645.
[24] J. Hirase, N. Shindou, and K. Akahori, "Scan chain diagnosis using IDDQ current measurement," in Asian Test Symposium, 1999, pp. 153-157.
[25] P. Song, F. Stellari, T. Xia, and A. J. Weger, "A novel scan chain diagnostics technique based on light emission from leakage current," in IEEE International Test Conference, 2004, pp. 140-147.
[26] G. Edirisooriya and S. Edirisooriya, "Scan chain fault diagnosis with fault dictionaries," in IEEE International Symposium on Circuits and Systems, ISCAS '95, 1995, pp. 1912-1915 vol.3.
[27] S. Edirisooriya and G. Edirisooriya, "Diagnosis of scan path failures," in IEEE VLSI Test Symposium, 1995, pp. 250-255.
[28] S. Narayanan and A. Das, "An efficient scheme to diagnose scan chains," in IEEE International Test Conference, 1997, pp. 704-713.
[29] J. L. Schafer, F. A. Policastri, and R. J. McNulty, "Partner SRLs for improved shift register diagnostics," in IEEE International Test Conference, 1992, pp. 198-201.
[30] W. Yuejian, "Diagnosis of scan chain failures," in IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 1998, pp. 217-222.
[31] R. Guo and S. Venkataraman, "A technique for fault diagnosis of defects in scan chains," in IEEE International Test Conference, 2001, pp. 268-277.
[32] R. Guo and S. Venkataraman, "An algorithmic technique for diagnosis of faulty scan chains," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, pp. 1861-1868, 2006.
[33] R. Guo, Y. Huang, and W.-T. Cheng, "Fault dictionary based scan chain failure diagnosis," in Asian Test Symposium, 2007, pp. 45-52.
[34] K. Stanley, "High-accuracy flush-and-scan software diagnostic," IEEE Design & Test of Computers, vol. 18, pp. 56-62, 2001.
[35] Y. Huang, "Dynamic learning based scan chain diagnosis," in Design, Automation and Test in Europe Conference and Exhibition, 2007, pp. 1-6.
[36] Y. Huang and K. Gallie, "Diagnosis of defects on scan enable and clock trees," in Design, Automation and Test in Europe Conference and Exhibition, 2006, pp. 1-2.
[37] Y. Huang, H.-Y. Tseng, W.-T. Cheng, A. Huang, C.-J. Hsieh, and Y.-T. Hung, "Efficient diagnosis for multiple intermittent scan chain hold-time faults," in Asian Test Symposium, 2003, pp. 44-49.
[38] Y. Huang, W. Hsu, Y.-S. Chen, W.-T. Cheng, R. Guo, and A. Man, "Diagnose compound scan chain and system logic defects," in IEEE International Test Conference, 2007, pp. 1-10.
[39] Y. Huang, W.-T. Cheng, and G. Crowell, "Using fault model relaxation to diagnose real scan chain defects," in Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005, pp. 1176-1179 Vol. 2.
[40] Y. Huang, W.-T. Cheng, N. Tamarapalli, J. Rajski, R. Klingenberg, W. Hsu, and Y.-S. Chen, "Diagnosis with limited failure information," in IEEE International Test Conference, 2006, pp. 1-10.
[41] Y. Huang, W.-T. Cheng, and R. J., "Compressed pattern diagnosis for scan chain failures," in IEEE International Test Conference, 2005, p. 8 pp.
[42] Y.-L. Kao, W.-S. Chuang, and J. C. M. Li, "Jump simulation: a technique for fast and precise scan chain fault diagnosis," in IEEE International Test Conference, 2006, pp. 1-9.
[43] J. C.-M. Li, "Diagnosis of single stuck-at faults and multiple timing faults in scan chains," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 13, pp. 708-718, 2005.
[44] E. Hsu, S.-Y. Huang, and C.-W. Tzeng, "A new robust paradigm for diagnosing hold-time faults in scan chains," in International Symposium on VLSI Design, Automation and Test (VLSI-DAT), 2006, pp. 1-4.
[45] J.-S. Yang and S.-Y. Huang, "Quick scan chain diagnosis using signal profiling," in IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD, 2005, pp. 157-160.
[46] S. Kundu, "Diagnosing scan chain faults," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 2, pp. 512-516, 1994.
[47] J. C.-M. Li, "Diagnosis of timing faults in scan chains using single excitation patterns," IEICE Trans Fundamentals, vol. E88-A, pp. 1024-1030, April 1 2005.
[48] J. C. M. Li, "Diagnosis of multiple hold-time and setup-time faults in scan chains," IEEE Transactions on Computers, vol. 54, pp. 1467-1472, 2005.
[49] R. Guo, Y. Huang, and W.-T. Cheng, "A complete test set to diagnose scan chain failures," in IEEE International Test Conference, 2007, pp. 1-10.
[50] O. Sinanoglu and P. Schremmer, "Diagnosis, modeling and tolerance of scan chain hold-time violations," in Design, Automation and Test in Europe Conference and Exhibition, 2007, pp. 1-6.
[51] C. W. Tzeng, J. J. Hsu, and S. Y. Huang, "Robust paradigm for diagnosing hold-time faults in scan chains," Computers & Digital Techniques, IET, vol. 1, pp. 706-715, 2007.
[52] Y. Huang, W.-T. Cheng, C.-J. Hsieh, H.-Y. Tseng, A. Huang, and Y.-T. Hung, "Intermittent scan chain fault diagnosis based on signal probability analysis," in Design, Automation and Test in Europe Conference and Exhibition, 2004, pp. 1072-1077 Vol.2.
[53] Y. Huang, W.-T. Cheng, R. S. M., C.-J. Hsieh, and Y.-T. Hung, "Statistical diagnosis for intermittent scan chain hold-time fault," in IEEE International Test Conference, 2003, pp. 319-328.
[54] C.-W. Tzeng, J.-S. Yang, and S.-Y. Huang, "A versatile paradigm for scan chain diagnosis of complex faults using signal processing techniques," ACM Trans. Des. Autom. Electron. Syst., vol. 13, pp. 1-27, 2008.
[55] C.-W. Tzeng and S.-Y. Huang, "Diagnosis by image recovery: finding mixed multiple timing faults in a scan chain," IEEE Transactions on Circuits and Systems II: Express Briefs [see also IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing], vol. 54, pp. 690-694, 2007.
[56] G. A. Sarrica, "Theory and implementation of LSSD scan ring & STUMPS channel test and diagnosis," in 13th IEEE/CHMT International Electronics Manufacturing Technology Symposium, 1992, pp. 195-200.
[57] F. Poehl, M. Beck, R. Arnold, J. Rzeha, T. Rabenalt, and M. Goessel, "On-chip evaluation, compensation and storage of scan diagnosis data," Computers & Digital Techniques, IET, vol. 1, pp. 207-212, 2007.
[58] K. Elshafey and A. Elhosiny, "On-line testing and diagnosis of microcontroller," in International Conference on Microelectronics, 2006, pp. 178-181.
[59] S. Chakravarty and M. Liu, "Algorithms for current monitor based diagnosis of bridging and leakage faults," in ACM/IEEE, Design Automation Conference, 1992, pp. 353-356.
[60] D. B. Lavo, B. Chess, T. Larrabee, and F. J. Ferguson, "Diagnosing realistic bridging faults with single stuck-at information," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, pp. 255-268, 1998.
[61] J. B. Liu, A. Veneris, and H. Takahashi, "Incremental diagnosis of multiple open-interconnects," in IEEE International Test Conference, 2002, pp. 1085-1092.
[62] E. M. Rudnick, W. K. Fuchs, and J. H. Patel, "Diagnostic fault simulation of sequential circuits," in IEEE International Test Conference, 1992, p. 178.
[63] J. Saxena, K. M. Butler, H. Balachandran, D. B. Lavo, B. Chess, T. Larrabee, and F. J. Ferguson, "On applying non-classical defect models to automated diagnosis," in IEEE International Test Conference, 1998, pp. 748-757.
[64] S.-Y. Huang, "Speeding up the Byzantine fault diagnosis using symbolic simulation," in IEEE VLSI Test Symposium, 2002, pp. 193-198.
[65] H. Takahashi, K. O. Boateng, K. K. Saluja, and Y. Takamatsu, "On diagnosing multiple stuck-at faults using multiple and single fault simulation in combinational circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, pp. 362-368, 2002.
[66] S. Venkataraman and S. B. Drummonds, "A technique for logic fault diagnosis of interconnect open defects," in IEEE VLSI Test Symposium, 2000, pp. 313-318.
[67] X. Wen, H. Tamamoto, K. K. Saluja, and K. Kinoshita, "Fault diagnosis for physical defects of unknown behaviors," in Asian Test Symposium, 2003, pp. 236-241.
[68] B. Nadeau-Dostie, D. Burek, and A. S. M. Hassan, "ScanBist: a multifrequency scan-based BIST method," IEEE Design & Test of Computers, vol. 11, pp. 7-17, 1994.
[69] K. L. Lee, N. Z. Basturkmen, and S. Venkataraman, "Diagnosis of scan clock failures," in IEEE VLSI Test Symposium, 2008, pp. 67-72.
指導教授 李進福(Jin-Fu Li) 審核日期 2008-7-24
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明