博碩士論文 955201016 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:66 、訪客IP:3.145.59.187
姓名 林璁輝(Tsung-hui Lin)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 6-Gb/s半速率時脈與資料回復電路設計與實現
(Design and Implementation of 6-Gb/s Half-Rate Clock and Data Recovery Circuit)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨著資料傳輸速率需求的增加,對於輸入與輸出的頻寬限制也與日俱增,因此高速串列傳輸系統逐漸取代傳統的並行傳輸方式,例如應用在乙太網路及光纖網路上的規格,如10G Ethernet、OC-192。著重於有線或是匯流排上的應用有PCI-Express、Serial-ATA…等系統,在這些規格所傳輸的資料速度已達到Gb/s的等級。在串列傳輸系統中,接收端需要有能力擷取輸入資料內嵌的時脈資訊。本論文採用雙迴路架構,利用半速率取樣方式應用於接收端的時脈與資料回復電路,並以應用於Serial-ATA III的規格為目標。
本論文是應用於6Gb/s的串列傳輸系統接收端中,輸出為二組3Gb/s的並行資料。其中雙迴路架構分別由多相位時脈倍頻器與資料回復迴路所組成。其主要優勢在於二個獨立迴路,可以解決單一迴路中抖動轉移函數與抖動容忍度的頻寬互相衝突問題。資料回復迴路接收八組由時脈倍頻器所提供的相位均分時脈,經由bang-bang相位偵測器判斷取樣時脈與輸入資料的相位差異,取樣結果將輸出於數位控制電路以決定相位內插器的權重值,其中相位內插器的解析度平均值近似於5ps,最後資料回復迴路逐步校準時脈成為最佳取樣資料位置。
在半速率取樣時脈資料回復電路實現上,採用UMC 0.09um 1P9M CMOS製程,供應電源為1V,晶片面積為1.03mm2。
摘要(英) As the demands for the data rate increase, the input–output (I/O) bandwidth will progress with each passing day. Therefore, the high speed serial I/O systems have replaced traditional parallel I/O systems gradually. For example, 10G Ethernet and OC-192 are applied in Gigabit Ethernet and Fiber Channel. PCI-Express and Serial-ATA are used in wire or bus serial links. Most of the systems operate at the data rate attending to the level of Gb/s. In the serial link system, the receiver must have the ability to obtain the frequency of clock from the incoming data. This thesis adopts a dual loop clock and data recovery circuit, and utilizing half-rate sample technique in the receiver circuit. It tries fitting the corresponding specification of Serial-ATA III.
A receiver circuit is used in the serial link system with 6Gb/s, and retime them to two group of 3Gb/s parallel output data. A dual loop structure consists of a multi-phase frequency synthesizer and a data recovery loop. The main advantage of two independent loops can solve the problem that bandwidth of jitter transfer and jitter tolerance conflicts each other in the single loop. The data recovery loop receives eight clock signals having equally spaced and uniformly distributed phases from the frequency synthesizer. Then judge the phase difference between sample clock and input data with bang-bang phase detector. The sampling results will be output in digital control circuit to determine the phase interpolator weight value. Among them resolution average of phase interpolator is approximate to 5ps. Finally, the data recovery loop adjusts the clock phase gradually, which becomes the best sample position.
A half-rate clock and data recovery circuit is achieved in UMC 0.09um 1P9M CMOS technology with 1V power supply. The chip occupies an area of 1.03mm2.
關鍵字(中) ★ 相位內插器
★ 雙迴路架構
★ 半速率取樣
★ 時脈與資料回復電路
關鍵字(英) ★ dual loop structure
★ phase interpolator
★ half-rate sample
★ CDR
論文目次 摘要 i
Abstract ii
誌謝 iii
目錄 iv
圖目錄 vii
表目錄 x
第一章 緒論 1
1.1 動機 1
1.2 論文架構 3
第二章 時脈與資料回復電路之抖動分析 4
2.1 簡介 4
2.1.1 時間邊界 (Timing Margin) 5
2.1.2 誤碼率分析 (Bit Error Rate) 6
2.2 時脈與資料回復電路抖動參數 8
2.2.1 抖動產生量 (Jitter Generation) 8
2.2.2 抖動轉移函數 (Jitter Transfer) 8
2.2.3 抖動峰值 (Jitter Peaking) 9
2.2.4 抖動容忍度 (Jitter Tolerance) 10
第三章 時脈與資料回復電路背景 14
3.1 資料形式 14
3.2 相位偵測器簡介 14
3.2.1 Hogge相位偵測器 15
3.2.2 Alexander相位偵測器 19
3.3 取樣速率 20
3.4 資料與回復電路之架構 21
3.4.1 鎖相迴路式CDR (PLL Based CDR) 21
3.4.2 延遲鎖定迴路式CDR (DLL Based CDR) 23
3.4.3 突發模式CDR (Burst Mode CDR) 24
3.4.4 超取樣式CDR (Oversampling CDR) 25
3.4.5 相位選擇式CDR (Phase Selection CDR) 26
3.4.6 回授式相位選擇式CDR (Feedback Phase Selection CDR) 27
第四章 時脈與資料回復電路實現 28
4.1 簡介 28
4.2 電路架構 29
4.3 時脈倍頻器 30
4.3.1 時脈倍頻器架構 30
4.3.2 時脈倍頻器線性模型 31
4.3.3 系統分析與模擬 32
4.3.4 相位頻率偵測器 34
4.3.5 電荷充電泵 35
4.3.6 迴路濾波器 37
4.3.7 壓控振盪器 38
4.3.8 除頻器 41
4.4 資料回復迴路 42
4.4.1 資料回復迴路架構 42
4.4.2 設計考量 43
4.4.3 資料取樣電路 45
4.4.4 同步電路與轉態偵測器 46
4.4.5 解串列器 48
4.4.6 多數投票機制電路 48
4.4.7 相位旋轉電路 50
4.4.8 重置電路 52
4.4.9 相位選擇器 53
4.4.10 相位內插器 54
4.4.11 輸出/輸入緩衝器 58
第五章 晶片模擬與量測 60
5.1 時脈倍頻器模擬 60
5.2 時脈與資料回復電路模擬 61
5.3 時脈與資料回復電路量測 66
第六章 結論與未來改進方向 71
6.1 結論 71
6.2 電路改進方向 71
Reference 73
參考文獻 [1] Intel® Xeon™ Processor with 533 MHz Front Side Bus at 2 GHz to 3.20 GHz, Feb. 2004.
[2] Intel® Fully Buffered DIMM Specification Addendum Rev. 0.9, Mar. 2006.
[3] PCI Express™ Base Specification , Gen2 Rev 0.3, July 27, 2005.
[4] Serial ATA Workgroup “SATA: High speed Serialized AT Attachment”, Revision 2.6, Feb. 2006.
[5] International Engineering Consortium (IEC), [Online] Available: http://www.iec. org/online/tutorial
[6] C.-K. Ken Yang, “Design of High-Speed Serial Links in CMOS,” Sponsored by Center for integrated Systems, Sun Microsystems, and LSI Logic Inc, 1998.
[7] Craig Emmerich “Introduction to Jitter.” Product Marketing Engineer, Wavecrest, Oct, 2001.
[8] Understanding Jitter, WAVECREST Corporation, 2001.
[9] Fiber Channel-Methodologies for Jitter Specification, T11.2/Project 1230/Rev
10, June 1999.
[10] Jitter Specification Made Easy: A Heuristic Discussion of Fibre Channel and Gigabit Ethernet Methods, Rev.1; 04/08, Feb. 2001.
[11] Bellcore, “SONET OC-192 Transport System Generic Criteria,” GR-1377- CORE, Mar. 1998.
[12] B. Razavi, “Design of Integrated Circuit for Optical Communications,” McGraw-Hill Inc., International Edition, 2003.
[13] L.De Vito, “A versatile clock recovery architecture and monolithic implementation,” in Monolithic Phase-Locked Loops and Clock Recovery Circuit: Theory and Design, B. Razavi, Ed. New York: IEEE Press, pp. 405-420. 1996.
[14] J. Savoj and B. Razavi, “High-Speed CMOS Circuits for Optical Receivers,” Kluwer Academic Publishers, 2001.
[15] S. Y. Sum., “An Analog PLL-Based Clock and Data Recovery Circuit with High Input Jitter Tolerance,” IEEE Journal of Solid-State Circuits, vol. 24, no. 2, pp. 325-330, Apr. 1989.
[16] B. R. Veillette and G. W. Roberts, “On-Chip Measurement of the Jitter Transfer Function of Charge-Pump Phase-Locked Loops,” IEEE Journal of Solid-State Circuits, vol. 33, no. 3, pp. 483-491, Mar. 1998.
[17] C. R. Hogge, “A Self Correcting Clock Recovery Circuit,” IEEE J. Lightwave Tech., vol. 3, pp. 1312-1314, Dec. 1985.
[18] H. H. Chang, R. J. Yang and S. I. Liu, “Low Jitter and Multirate Clock and Data Recovery Circuit Using a MSADLL for Chip-to-Chip Interconnection,” IEEE Transactions on Circuits and System, vol. 51, no.12, pp. 2356-2364, Dec. 2004.
[19] J. Lee, K. S. Kundert and B. Razavi, “Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits,” IEEE Journal of Solid-State Circuits, vol. 39, no. 9, pp. 1571-1580, Sep. 2004.
[20] L. DeVito, J. Newton, R. Croughwell and J. Bulzacchelli, “A 52MHz And 155MHz Clock-recovery PLL,” IEEE International Solid-State Circuits Conference, pp. 142-143, 1991.
[21] T. H. Lee and J. F. Bulzacchelli, “A 155-MHz Clock Recovery Delay- and Phase-Locked Loop,” IEEE Journal of Solid-State Circuits, vol. 27, no. 12, pp. 1736-1746, Dec. 1992.
[22] J. D. H. Alexander, “Clock Recovery from Random Binary Data,” Electronics Letters, vol. 11, pp. 541-542, Oct. 1975.
[23] J. Savoj and B. Razavi, “A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector,” IEEE Journal of Solid-State Circuits, vol. 36, no. 5, pp. 761-767, May 2001.
[24] X. Maillard, F. Devisch and M. Kuijk, “A 900-Mb/s CMOS Data Recovery DLL Using Half-Frequency Clock,” IEEE Journal of Solid-State Circuits, vol. 37, no. 6, pp. 711-715, June 2002.
[25] M. Banu and A. Dunlop, “A 660Mb/s CMOS Clock Recovery Circuit with Instantaneous Locking for NRZ Data and Burst-Mode Transmission,” IEEE International Solid-State Circuits Conference, pp. 102-103, 1993.
[26] M. Nogawa, K. Nishimura, S. Kimura and T. Yoshida, et al. “A 10-Gb/s Burst-Mode CDR IC in 0.13μm CMOS,” IEEE International Solid-State Circuits Conference, pp. 228-229, 2005.
[27] J. Kim and D. K. Jeong, “Multi-Gigabit-Rate Clock and Data Recovery Based on Blind Oversapmling,” IEEE Communications Magazine, Dec. 2003.
[28] J. Sonntag and R. Leonowich, “A Monolithic CMOS 10MHz DPLL for Burst-Mode Data Retiming,” IEEE International Solid-State Circuits Conference, pp. 194-195, 1990.
[29] P. Larsson, “A 2–1600-MHz CMOS Clock Recovery PLL with Low-Vdd Capability,” IEEE Journal of Solid-State Circuits, vol. 34, no. 12, pp. 1951-1960, Dec. 1999.
[30] K.-Y. K. Chang, J. Wei, C. Huang and S. Li, et al. “A 0.4–4-Gb/s CMOS Quad Transceiver Cell Using On-Chip Regulated Dual-Loop PLLs,” IEEE Journal of Solid-State Circuits, vol. 38, no. 5, pp. 747-754, May 2003.
[31] R. Kreienkamp, U. Langmann and C. Zimmermann, et al. “A 10-Gb/s CMOS Clock and Data Recovery Circuit With an Analog Phase Interpolator,” IEEE Journal of Solid-State Circuits, vol. 40, no. 3, pp. 736-743, March 2005.
[32] M. Aoyama, K. Ogasawara and M. Sugawara, et al. “3Gbps, 5000ppm Spread Spectrum SerDes PHY with frequency tracking Phase Interpolator for Serial ATA,” IEEE Symposium on VLSl Circuits Digest of Technical Papers 8-4, pp. 107-110, June 2003.
[33] K.-L. J. Wong, H. Hatamkhani, M. Mansuri and C.-K. K. Yang, “A 27-mW 3.6-Gb/s I/O Transceiver,” IEEE Journal of Solid-State Circuits, vol. 39, no. 4, pp. 602-612, April 2004.
[34] F. Yang, J. H. O’Neill, D. Inglis and J. Othmer, “A CMOS Low-Power Multiple 2.5–3.125-Gb/s Serial Link Macrocell for High IO Bandwidth Network ICs,” IEEE Journal of Solid-State Circuits, vol. 37, no. 12, pp. 1813-1821, Dec. 2002.
[35] P. Larsson,” Measurements and Analysis of PLL Jitter Caused by Digital Switching Noise,” IEEE Journal of Solid-State Circuits, vol. 36, no. 7, pp. 1113-1119, July 2001.
[36] S. Sidiropoulos and M. A. Horowitz, “A Semidigital Dual Delay-Locked Loop,” IEEE Journal of Solid-State Circuits, vol. 32, no. 11, pp. 1683-1692, Nov. 1997.
[37] W. Rhee, “Design of High-Performance CMOS Charge Pumps in Phase -Locked Loops,” IEEE International Symposium on Circuits & Systems, vol. 2, pp. 545-548, June 1999.
[38] A. Hajimiri and T. H. Lee, “A General Theory of Phase Noise in Electrical Oscillators,” IEEE Journal of Solid-State Circuits, vol. 33, no. 2, pp. 179-194, Feb. 1998.
[39] J. G. Maneatis and M. A. Horowitz, ”Precise Delay Generation Using Coupled Oscillators,” IEEE Journal of Solid-State Circuits, vol. 28, no. 12, pp. 1273-1282, Dec. 1993.
[40] J. G. Maneatis, “Low-jitter process-independent DLL and PLL based on self-biased techniques,” IEEE Journal of Solid-State Circuits, vol. 31, no. 11, pp. 1723-1732, Nov. 1996.
[41] Y. Miki, T. Saito and H. Yamashita, et al. “A 50-mW/ch 2.5-Gb/s/ch Data Recovery Circuit for the SFI-5 Interface With Digital Eye-Tracking,” IEEE Journal of Solid-State Circuits, vol. 39, no. 4, pp. 613-621, April 2004.
[42] G. M. Yin, F. O. Eynde and W. Sansen, “A High-speed CMOS Comparator with 8-b Resolution,” IEEE Journal of Solid-State Circuits, vol. 27, no. 2, pp. 208-211, Feb. 1992.
[43] M. Fukaishi, K. Nakamura and H. Heiuchi, et al. “A 20-Gb/s CMOS Multichannel Transmitter and Receiver Chip Set for Ultra-High-Resolution Digital Displays,” IEEE Journal of Solid-State Circuits, vol. 35, no. 11, pp. 1611-1618, Nov. 2000.
[44] M. M. Green, “CMOS design techniques for 10 Gb/s optical transceivers,” IEEE Symposium on VLSl Circuits, pp. 209-212, 2003.
[45] M. Y. He and J. Poulton, “A CMOS Mixed-Signal Clock and Data Recovery Circuit for OIF CEI-6G+ Backplane Transceiver,” IEEE Journal of Solid-State Circuits, vol. 41, no. 3, pp. 597-606, March 2006.
[46] P. K. Hanumol, G. Y. Wei and U. K. Moon, “A Wide-Tracking Range Clock and Data Recovery Circuit,” IEEE Journal of Solid-State Circuits, vol. 43, no. 2, pp. 425-439, Feb. 2008.
指導教授 鄭國興(Kuo-hsing Cheng) 審核日期 2008-11-16
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明