博碩士論文 965201030 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:13 、訪客IP:3.128.205.109
姓名 永昇平(Sheng-ping Yung)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於特殊半導體記憶體之測試與可靠性設計技術
(Testing and Design-for-Reliability Techniques for Specific Semiconductor Memories)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 可靠度是奈米層級(nano-scale)積體電路的重要議題之一。在積體電路裡,一個未受任何機制所保護的記憶體是相當容易遇到可靠度不足的問題。而一個典型的6T (six-transistor)靜態隨機存取記憶體(static random access memory,SRAM)因製程變異的影響,已經面臨到靜態雜訊邊際(static noise margin)嚴重縮減的問題。所以,一個利用讀寫埠分離來達到提升讀寫靜態雜訊邊際的8T(eight-transistor) 靜態隨機存取記憶體被提出來解決6T靜態隨機存取記憶體靜態雜訊邊際嚴重縮減的問題。由於8T靜態隨機存取記憶體與6T靜態隨機存取記憶體架構上的不同,一個存在於8T靜態隨機存取記憶體上的瑕疵可能會引發一個以往未曾定義在6T靜態隨機存取記憶體上的新錯誤模型。因此,在本論文的第一部分,我們定義了一些存在於8T靜態隨機存取記憶體上的新錯誤模型,並且提出了一個測試演算法來偵測這些新錯誤模型。
另一方面,內容定址記憶體(content addressable memory,CAM)廣泛的使用在數位系統上。一個內容定址記憶體細胞(cell)是由一個靜態隨機存取記憶體及一個比較器所組成。所以一個內容定址記憶體也同樣會遇到靜態隨機存取記憶體靜態雜訊邊際嚴重縮減的問題。雖然許多的變異容忍靜態隨機存取記憶體已經被提出來解決此一問題,但是卻沒有任何關於變異容忍的內容定址記憶體設計被提出。所以,在本論文的第二部分,我們提出了一些變異度容忍的內容定址記憶體細胞。實驗結果顯示,這些提出來的細胞只要付出少許的面積花費,就可以擁有相當傑出的讀寫靜態雜訊邊際。此外,我們更對這些變異度容忍的細胞進行可測試度(testability)分析。而分析結果顯示,我們所提出來的變異度容忍細胞在進行比較錯誤(comparison faults)的偵測時,擁有比典型內容定址記憶體還要低的測試複雜度。所以,我們所提出來的內容定址記憶體細胞不只擁有傑出的讀寫靜態雜訊邊際,同時也可以降低比較錯誤的測試複雜度。
錯誤偵測與更正(error detection and correction,EDAC)機制被廣泛使用於保護隨機存取記憶體免於遭受軟錯誤(soft errors)的侵襲。一個內容定址記憶體除了讀取與寫入的功能外,還多了一個平行比對的操作可供使用。而這個平行比對的操作使得應用於隨機存取記憶體上的錯誤偵測與更正機制無法順利移植到內容定址記憶體當中。所以,在本論文的最後部分,我們提出了兩種即時的錯誤偵測及更正機制,使其可以成功應用在內容定址記憶體上。而且實驗結果顯示,所提出的錯誤偵測及更正機制只要付出少許面積花費就可以為內容定址記憶體提供相當傑出的可靠度。
摘要(英) Reliability is one important issue for designing nano-scale integrated circuits. Among integrated circuits, a memory circuit without any protection mechanism is easily prone to the problem of reliability. The typical 6T-SRAM has faced the impact of the drastic reduction of static noise margin (SNM) due to the process variation. To cope with this ssue, an 8T-SRAM cell has been proposed to enhance the read and write SNM by separating the read port and write port. Since the circuit structure of an 8T-SRAM cell is different from that of a 6T-SRAM cell, a defect in an 8TSRAM cell may be manifested as a new functional fault which does not exist in a 6T-SRAM cell.
In the first part of this thesis, therefore, we define new functional fault models for the 8T-SRAM cell. Also, a test algorithm for covering the defined functional faults is proposed.
A content addressable memory (CAM) is also widely used in digital systems. A CAM cell consists of a SRAM cell and a comparator. Although various variability-tolerant SRAM cells
have been proposed, no variability-tolerant CAM cells are reported. In the second part of this thesis, therefore, we propose several variability-tolerant CAM cells. Experimental results show that these CAM cells have good read and write SNMs with a small amount of area cost. Moreover, the testability of these variability-tolerant CAM cells has also been investigated. Analysis results show that test complexity for detecting the comparison faults of the proposed variability-tolerant CAM cells is lower than that of typical CAM cells.
Error detection and correction (EDAC) scheme is widely used to protect a RAM from soft errors. However, a CAM has a parallel compare operation in addition to a read and a write operations. The parallel compare operation makes the EDAC scheme for RAMs not be able to be applied in CAMs. In the last part of thesis, therefore, two concurrent EDAC schemes for CAMs are proposed. Analysis results show that the proposed EDAC schemes can provide much better reliability for a CAM than the existing EDAC schemes.
關鍵字(中) ★ 測試演算法
★ 可靠度模型
★ 可靠性設計
★ 錯誤模型
★ 記憶體測試
★ 錯誤偵測及更正
★ 8T靜態隨機存取記憶體
★ 內容定址記憶體
關鍵字(英) ★ design-for-reliability
★ reliability model
★ test algorithm
★ fault model
★ memory testing
★ error detection and correction (EDAC)
★ 8T-SRAM
★ content addressable memory
論文目次 1 Introduction 1
1.1 SRAM cells . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Content Addressable Memories . . . . . . . . . . . . . 2
1.3 Soft Error Mitigation Techniques . . . . . . . . . . . 5
2 Fault Modeling and Testing for 8T-SRAMs 9
2.1 Typical 6T-SRAMs and Variability-Tolerant 8T-SRAMs . . 9
2.2 8T-SRAM Fault Modeling . . . . . . . . . . . . . . . . 9
2.2.1 8T-SRAM . . . . . . . . . . . . . . . . . . . . . . 10
2.2.2 SPICE Model and Experimental Details . . . . . . . .11
2.2.3 Functional Faults in a Typical 6T-SRAM . . . . . . .12
2.2.4 New Fault Models of an 8T-SRAMs for Single-Port Applications . . . . . 14
2.2.5 Redundant Defect in 8T-SRAMs for Single-Port Applications . . . . . . . 15
2.2.6 New Fault Models in 8T-SRAMs for Dual-Port Applications . . . . . . . . 18
2.2.7 New Fault Models in 8T-SRAM Caused by Process Variations . . . . . . . 21
2.3 8T-SRAM Test Algorithm Development . . . . . . . . . .23
2.3.1 Comparison Results . . . . . . . . . . . . . . . . .24
2.4 Summary . . . . . . . . . . . . . . . . . . . . . . . 27
3 Variability-Tolerant BCAM Design 28
3.1 Overview of Content Addressable Memories . . . . . . . . . . . . . . . . . . . . . 28
3.2 6T-SRAM Stability Analysis and Variability Tolerance .28
3.3 SPICE Model . . . . . . . . . . . . . . . . . . . . . 31
3.4 Variability-Tolerant BCAM Cells . . . . . . . . . . . 31
3.4.1 Variability-Tolerant BCAM Cells with NOR-Type Matchlines . . . . . . . 32
3.4.2 Variability-Tolerant BCAM Cells with NAND-Type Matchlines . . . . . . 33
3.5 Analysis of Stability, Area, and Power in Proposed BCAM Cells . . . . . . . . . . 36
3.6 Comparison Faults Analysis of the Proposed NOR-Type BCAM Cells . . . . . . . 43
3.7 Test Complexity Analysis of the Proposed BCAM Cells . 45
3.8 Comparison of Stability, Area, Power, and Test Complexity in BCAM Cells . . . . 51
3.9 Summary . . . . . . . . . . . . . . . . . . . . . . . 52
4 Error Detection and Correction Schemes for CAMs 54
4.1 Previous Works . . . . . . . . . . . . . . . . . . . .54
4.2 A Soft-Error Tolerant Content-Addressable Memory Using a Modified Error-
Correcting-Match (ECM) Scheme . . . . . . . . . . . . . . 56
4.3 Concurrent EDAC Schemes for CAMs . . . . . . . . . . .57
4.3.1 Comparison-Based Concurrent EDAC Scheme for CAMs . .59
4.3.2 Semi-Deterministic Scrubbing EDAC Scheme for CAMs . 60
4.4 Reliability Models of the Proposed EDAC Schemes . . . 64
4.4.1 Reliability Model of the Unprotected CAMs . . . . . 67
4.4.2 Reliability Model of the ECM Scheme for CAMs . . . .68
4.4.3 Reliability Model of the Modified ECM Scheme . . . .69
4.4.4 Reliability Model of the Semi-Deterministic Scrubbing EDAC Scheme . . 69
4.4.5 Reliability Model of the Comparison-Based Concurrent EDAC Scheme . . 70
4.5 Reliability Analysis . . . . . . . . . . . . . . . . .70
4.6 Experimental Results . . . . . . . . . . . . . . . . .74
4.7 Summary . . . . . . . . . . . . . . . . . . . . . . . 75
5 Conclusions and FutureWorks 76
5.1 Conclusions . . . . . . . . . . . . . . . . . . . . . 76
5.2 Future Works . . . . . . . . . . . . . . . . . . . . .77
參考文獻 [1] A. R. Patwary, B. M. Geuskens, and S. L. Lu, “Content addressable memory for low-power and high-performance applications,” in Computer Science and Information Engineering, March 31-April 2 2009, pp. 423–427.
[2] H. Noda, K. Dosaka, F. Morishita, and K. Arimoto, “A soft-error-immune maintenance-free TCAM architecture with associated embedded DRAM,” in Proc. IEEE Custom Integrated
Circuits Conf. (CICC), Sept. 2005, pp. 451–454.
[3] K. Pagiamtzis, N. Azizi, and F. N. Najm, “A soft-error tolerant content-addressable memory (CAM) using an error-correcting-match scheme,” in Proc. IEEE Custom Integrated Circuits Conf. (CICC), Sept. 2006, pp. 301–304.
[4] N. Derhacobian, V. A. Vardanian, and Y. Zorian, “Embedded memory reliability: the SER challenge,” in Proc. IEEE Int’l Workshop on Memory Technology, Design and Testing (MTDT), San Jose, Aug. 2004, pp. 104–110.
[5] L. Chang, R. K. Montoye, Y. Nakamura, K. A. Batson, R. J. Eickemeyer, R. H. Dennard, W. Haensch, and D. Jamsek, “An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches,” IEEE Jour. of Solid-State Circuits, vol. 43, no. 4, pp. 956–963, Apr. 2008.
[6] Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, “An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment,” in Symp. on VLSI Circuit, Digest of Technical Papers, June 2007, pp. 256–257.
[7] L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. Mcnab, A. W. Topol, C. D. Adams, K. W. Guarini, and W. Haensch, “Stable SRAM cell design for the 32 nm node and beyond,” in Symp. VLSI technical Dig., June 2008, pp. 128–129.
[8] L. Chang, Y. Nakamura, R. K. Montoye, J. Sawada, A. K. Martin, K. Kinoshita, F. H. Gebara, K. B. Agarwal, D. J. Acharyya, W. Haensch, K. Hosokawa, and D. Jamsek, “A 5.3GHz 8TSRAM with operation down to 0.41V in 65nm CMOS,” in Symp. on VLSI Circuit, Digest of Technical Papers, June 2007, pp. 252–253.
[9] B. H. Calhoun and A. Chandrakasan, “A 256 kb sub-threshold SRAM in 65 nm CMOS,”IEEE Jour. of Solid-State Circuits, vol. 42, no. 3, pp. 680–688, Mar. 2007.
[10] T. Kim, J. Liu, J. Keane, , and C. H. Kim, “A high-density subthreshold SRAM with dataindependent
bitline leakage and virtual ground replica scheme,” IEEE Jour. of Solid-State Circuits, vol. 43, no. 2, pp. 518–529, Feb. 2008.
[11] I. J. Chang, J. J. Kim, S. P. Park, and K. Roy, “A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS,” IEEE Jour. of Solid-State Circuits, vol. 44, no. 2, pp. 650–658, Feb. 2009.
[12] J. Lohstroh, E. Seevinck, and J. Groot, “Worst-case noise margin criteria for logic circuits and their mathematical equivalence,” IEEE Jour. of Solid-State Circuits, vol. SC-18, pp. 803–806, Dec. 1983.
[13] E. Seevinck, F. J. List, and J. Lohstroh, “Static-noise margin analysis of MOS SRAM cells,”
IEEE Jour. of Solid-State Circuits, vol. 22, no. 5, pp. 748–754, Oct. 1987.
[14] S. Ishikura, M. Kurumada, T. Terano, Y. Yamagami, N. Kotani, K. Satomi, K. Nii, M. Yabuuchi, Y.Tsukamoto, S. Ohbayashi, T. Oashi, H. Makino, H. Shinohara, and H. Akamatsu,“An 45 nm 2-port 8T-SRAM using hierarchical replica bitline technique with immunity from simultaneous R/W access issues,” IEEE Jour. of Solid-State Circuits, vol. 43, no. 4, pp. 938–945, Apr. 2008.
[15] T.-H. Kim, J. Liu, and C. H. Kim, “An 8T subthreshold SRAM cell utilizing reverse short channel effect for write margin and read performance improvement,” in Proc. IEEE Custom Integrated Circuits Conf. (CICC), Sept. 2007, pp. 241–244.
[16] A. J. Bhavnagarwala, S. V. Kosonocky, S. P. Kowalczyk, R. V. Joshi, Y. H. Chan, U. Srinivasan, and K. Wadhwa, “A transregional CMOS SRAM with single logic VDD and dynamic
power rails,” in Symposium on VLSI Circuits, 2004, pp. 292–293.
[17] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, “A 3-GHz 70Mb SRAM in 65nm CMOS technology with integrated
column-based dynamic power supply,” in Proc. IEEE Int’l Solid-State Cir. Conf. (ISSCC), Feb. 2005, pp. 474–475.
[18] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishi, and H. Kobatake, “A read-write-noise–margin-free SRAM cell for low-VDD and high-speed applications,” IEEE Jour. of Solid-State Circuits, vol. 41, no. 1, pp. 113–121, Jan. 2006.
[19] N. Verma and A. P. Chandrakasan, “A 256kb 65nm 8T subthreshold SRAM employing senseamplifier redundancy,” IEEE Jour. of Solid-State Circuits, vol. 43, no. 4, pp. 938–945, Apr. 2008.
[20] J. Singh, D. K. Pradhan, S. Hollis, S. P. Mohanty, and J. Mathew, “Single ended 6T SRAM with isolated read-port for low-power embedded systems,” in Proc. Conf. Design, Automation, and Test in Europe (DATE), April 2009, pp. 917–922.
[21] W. K. Al-Assadi, A. P. Jayasumana, and Y. K. Malaiya, “On fault modeling and testing of content-addressable memories,” in Proc. IEEE Int’l Workshop on Memory Technology, Design and Testing (MTDT), 1994, pp. 78–81.
[22] K.-J. Lin and C.-W. Wu, “Testing content-addressable memories using functional fault models and March-like algorithms,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, no. 5, pp. 577–588, May 2000.
[23] Y.-J. Chang and Y.-H. Liao, “Hybrid-type CAM design for both power and performance efficiency,” IEEE Trans. on VLSI Systems, vol. 16, no. 8, pp. 965 – 974, Aug. 2008.
[24] J. Karlsson, P. Liden, P. Dahlgren, R. Johansson, and U. Gunneflo, “Using heavy-ion radiation to validate fault-handling mechanisms,” IEEE Micro, vol. 14, no. 1, pp. 8–23, Feb. 1994.
[25] J. Sosnowski, “Transient fault tolerance in digital systems,” IEEE Micro, vol. 14, no. 1, pp. 24–35, Feb. 1994.
[26] S. Kim and A. K. Somani, “Area efficient architectures for information integrity in cache memories,” International Symposium on Computer Architecture, vol. 27, no. 2, pp. 246–255, May 1999.
[27] S. Mitra, N. Kee, and S. Kim, “Robust system design with built-in soft-error resilience,” IEEE Computer, vol. 38, no. 2, pp. 43–52, Feb. 2005.
[28] K. Bhattacharya, N. Ranganathan, and S. Kim, “A framework for correction of multi-bit soft errors in L2 caches based on redundancy,” IEEE Trans. on VLSI Systems, vol. 17, no. 2, pp. 194–206, Feb. 2009.
[29] F. J. Aichelman and Jr., “Fault-tolerant design techniques for semiconductor memory applications,”
IBM J. Research and Development, vol. 28, pp. 177–183, Mar. 1984.
[30] D. B. Sarrazin and M. Malek, “Fault-tolerant semiconductor memories,” IEEE Computer, vol. 17, no. 8, pp. 49–56, Aug. 1984.
[31] A. Saleh, J. Serrano, and J. Patel, “Software-implemented EDAC protection against SEUs,”IEEE Trans. on Reliability, vol. 49, no. 3, pp. 273–284, Sept. 2000.
[32] R. M. Goodman and M. Sayano, “The reliability of semiconductor RAM memories with on-chip error-correction coding,” IEEE Trans. on Information Theory, vol. 37, no. 3, pp.884–896, MAY 1991.
[33] D. G. Mavis, P. H. Eaton, M. D. Sibley, R. C. Lacoe, E. J. Smith, and K. A. Avery, “Multiple bit upsets and error mitigation in ultra-deep submicron SRAMs,” IEEE Trans. on Nuclear Science, vol. 55, no. 6, pp. 3288–3294, Dec. 2008.
[34] S. S. Mukherjee, J. Emer, T. Fossum, and S. K. Reinhardt, “Cache scrubbing in Microprocessors:
myth or necessity?” in IEEE Pacific Rim International Symposium on Dependable Computing, March 2004, pp. 37–42.
[35] G. Neuberger, F. D. Lima, L. Carro, and R. Reis, “A multiple bit upset tolerant SRAM memory,”ACM TODAES, vol. 8, no. 4, pp. 577–590, Oct. 2003.
[36] H. Noda, K. Inoue, M. Kuroiwa, F. Igaue, K. Yamamoto, H. J. Mattausch, T. Koide, A. Amo, A. Hachisuka, S. Soeda, I. Hayashi, F. Morishita, K. Dosaka, K. Arimoto, K. Fujishima,
K. Anami, and T. Yoshihara, “A cost-efficient high-performance dynamic TCAM with pipelined hierarchical searching and shift redundancy architecture,” IEEE Jour. of Solid-State Circuits, vol. 40, no. 1, pp. 245–253, Jan. 2005.
[37] S. C. Krishnan, R. Panigrahy, and S. Parthasarathy, “Error-correcting codes for ternary content addressable memories,” IEEE Trans. on Computers, vol. 58, no. 2, pp. 275–279, Feb. 2009.
[38] S. M. Abdel-hafeez and S. P. Sribhashyam, “System and method for efficiently implementing double data rate memory architecture,” US patent, no. 6,356,509, March 12 2002.
[39] A. J. van de Goor, “Using march tests to test SRAMs,” IEEE Design & Test of Computers, vol. 10, no. 1, pp. 8–14, Mar. 1993.
[40] A. J. van de Goor and S. Hamdioui, “Fault models and tests for two-port memories,” in Proc. IEEE VLSI Test Symp. (VTS), 1998, pp. 401–410.
[41] K. J. Schultz, “Content-addressable memory core cells: a survey,” Integration, the VLSI J., vol. 23, pp. 171–188, 1997.
[42] B. H. Calhoun and A. Chandrakasan, “Analyzing static noise margin for subthreshold SRAM in 65nm CMOS,” in European Solid-State Circuits Conf. (ESSCIRC), Sept. 2005, pp. 363–366.
[43] E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, “Read stability and write-ability analysis of SRAM cells for nanometer technologies,” IEEE Jour. of Solid-State Circuits, vol. 41, no. 11, pp. 2577–2588, Nov. 2006.
[44] K.-J. Lin and C.-W. Wu, “A low-power CAM design for LZ data compression,” IEEE Trans. on Computers, vol. 49, no. 10, pp. 1139–1145, Oct. 2000.
[45] A. Saleh, J. Serrano, and J. Patel, “Reliability of scrubbing recovery-techniques for memory systems,” IEEE Trans. on Reliability, vol. 39, no. 1, pp. 114–122, Apr. 1990.
[46] J. A. Maestro and P. Reviriego, “Reliability of single-error correction protected memories,”IEEE Trans. on Reliability, vol. 58, no. 1, pp. 193–201, March 2009.
[47] M. Ottavi, L. Schiano, F. Lombardi, S. Pontarelli, and G. C. Cardarilli, “Evaluating the data integrity of memory systems by configurable Markov models,” in Proceedings of the IEEE Computer Society Annual Symposium on VLSI, May 2005, pp. 257 – 259.
[48] C.-L. Su, Y.-T. Yeh, and C.-W. Wu, “An integrated ECC and redundancy repair scheme for memory,” in Proc. IEEE Int’l Symp. on Defect and Fault Tolerance in VLSI Systems (DFT), 2005, pp. 81–89.
指導教授 李進福(Jin-fu Li) 審核日期 2009-9-28
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明