博碩士論文 995201033 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:13 、訪客IP:3.139.82.23
姓名 張奕淳(Yi-Chun Chang)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 模組化層級三維積體電路之矽晶穿孔規劃與線長最佳化
(TSV Planning and Wirelength Optimization for Block-Level 3D IC Designs)
相關論文
★ 三維積體電路的微凸塊分配與晶粒間繞線之研究★ 考慮製造限制之繞線研究
★ 使用次序關係配置三維積體電路微凸塊★ 考慮線長匹配的平行匯流排之逃脫繞線
★ 用於三維積體電路之溫度導向平面規劃的散熱型矽晶穿孔面積融合方法★ 雙圖案微影技術下考慮原生衝突之電路軌道繞線
★ 考量障礙物間通道寬度限制及避免電子遷移效應的繞線樹建構之研究★ 三維積體電路中同步降低熱點溫度與電源雜訊之研究
★ 使用延遲決策技術於類比電路之可繞度導向擺置方法★ 提升聚焦離子束對訊號探測能力之細部繞線方法
★ 考慮障礙物閃避及電荷分享之鑽孔數量最小化跳線插入演算法★ 降低不匹配效應之力導向電容擺置方法
★ 考慮繞線資源需求之標準元件擺置合法化★ Simultaneous escape routing for mixed-pattern signals on staggered pin arrays
★ 面積與最大線長最佳化之類比積體電路 佈局產生器★ 含多重檢查機制之實體驗證自動化工具
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 三維積體電路(3D IC)被視為是有發展潛力的設計方式去應付積體電路日漸增加的效能與功能需求。然而,重新設計矽智財模組為三維整合使用必須耗費大量成本,也由於相關的電子設計自動化(EDA)工具尚未成熟,三維積體電路的成功案例依然有限。為了促進業界採納三維整合設計方式,模組化層級三維積體電路設計是低成本與快速的方案,模組化層級整合帶來最大的好處就是可以使用已經高度最佳化的二維矽智財模組而不需要作大量的修改動作。
在三維積體電路中,不同晶粒層的訊號必須透過矽晶穿孔(through-silicon via, TSV)連結,因此矽晶穿孔規劃是三維積體電路設計中重要的議題之一,不適當的矽晶穿孔規劃將導致繞線線長增加、晶片面積上升,甚至使得三維積體電路效能低於二維積體電路。
在本篇論文中,我們提出以全域的觀點去考量矽晶穿孔規劃及縮短繞線線長。首先建立與整合未使用空間格子(whitespace gird)去得到精確的未使用空間位置與容量。而為了避免障礙物與建立鄰層間的垂直連結,我們必須搜索與產生候選矽晶穿孔(TSV candidates)。接著我們提出了一個修正的掃描線演算法去建立生成圖(spanning graph)完成各晶粒層上的水平連結。最後透過整數線性規劃(integer linear programming)去選擇每個連線所使用的矽晶穿孔,在完成所有訊號連結與滿足所有未使用空間的容量限制下達到線長的最小化。實驗結果顯示,我們提出的方法不僅減少了繞線線長也有彈性地去規劃矽晶穿孔擺置。
摘要(英) Three-dimensional integration circuit (3D IC) is a promising design option to cope with the increasing demands on performance and functionality of integrated circuit design. However, re-designing IP blocks in the 3D integrated type is very costly and the related electronic design automation tools are not mature yet, the success of 3D IC remains limited. In order to accelerate industry adoption of 3D IC integration, block-level 3D IC design is a low-cost and fast option. The primary advantage of the block-level integration is that we can reuse highly-optimization 2D IP blocks without considerable modifications.
Because through-silicon via (TSV) is the connection of different dies, TSV planning is one of the most important issues in 3D IC design. The inappropriate planning of TSVs causes long routing path, increase chip area, or even makes the performance of 3D ICs worse than that of 2D ICs.
In this thesis, we consider TSV planning and reduce routing wirelength for block-level 3D IC designs in global view. At first, we construct and integrate the whitespace grid to get the exact location and the capacity of each whitespace. Then, to avoid obstacles and construct vertical connections for adjacent dies, we search and generate TSV candidates. Besides, we propose a modified sweeping line algorithm to construct the horizontal connections of each die based on the spanning graph. Finally, integer linear programming is used to choose TSV candidates for each net, and the TSV planning result is satisfied the whitespace capacity constraints with minimum wirelength. Experimental results show that the proposed method not only reduces the routing wirelength, but also plans TSVs flexibly.
關鍵字(中) ★ 三維積體電路
★ 矽晶穿孔規劃
★ 電子設計自動化
關鍵字(英) ★ electronic design automation
★ three-dimensional integration circuit
★ through-silicon via
論文目次 摘要..........i
Abstract..........ii
致謝..........iii
目錄..........iv
圖目錄..........vi
表目錄..........ix
第一章、 緒論..........1
1-1 三維積體電路簡介..........1
1-2 三維積體電路製程..........3
1-2-1 矽晶穿孔技術 ..........3
1-2-2 晶片堆疊技術 ..........4
1-3 三維積體電路設計方式..........6
1-3-1 邏輯閘層級設計..........7
1-3-2 模組化層級設計..........8
1-4 矽晶穿孔在擺置上所必須考量的問題..........10
1-5 論文結構..........12
第二章、相關研究..........13
2-1 三維積體電路設計使用二維矽智財模組..........13
2-2 考量矽晶穿孔規劃的模組化層級三維積體電路設計..........17
第三章、模組化層級三維積體電路之矽晶穿孔規劃與線長最佳化..........24
3-1 研究動機..........24
3-2 問題描述..........28
3-3 演算法流程..........29
3-4 建立未使用空間資訊..........30
3-4-1 產生非均勻格距之未使用空間資訊..........30
3-4-2 建立Escape graph與合併零碎的未使用空間..........31
3-5 預估矽晶穿孔之穿越層數..........33
3-6 建立整數線性規劃模型..........36
3-6-1 搜尋與產生候選矽晶穿孔..........37
3-6-2 連結輸入輸出端點與候選矽晶穿孔..........39
3-7 解決整數線性規劃決定出矽晶穿孔擺置位置..........42
3-7-1 基於網路流概念之整數線性規劃的限制條件..........43
3-7-2 未使用空間的限制條件..........44
3-8 細部矽晶穿孔擺置..........46
第四章、實驗結果與分析..........48
4-1 工作平台與測試檔說明..........48
4-2 實驗結果與比較 ..........50
第五章、結論..........54
參考文獻..........55
參考文獻 [1] http://fplreflib.findlay.co.uk/articles/33931%5CP24-27.pdf.
[2] http://proj.moeaidb.gov.tw/sipo/files/Tec/201011317352.pdf.
[3] http://www-01.ibm.com/software/integration/optimization/cplex-optimizer/.
[4] “International Technology Roadmap for Semiconductors,” 2009.
[5] http://www.synopsys.com/Community/UniversityProgram/CapsuleModule/
TSV%20Stress%20Management.pdf.
[6] Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu, “ILP-based inter-die routing for 3D ICs,” in Proceedings of Asia and South Pacific Design Automation Conference, pp. 330-335, 2011.
[7] Yun-Chih Chang, Yao- Wen Chang, Guaitg-Ming Wu, and Shu- Wei Wu, “B*-Trees: A New Representation for Non-Slicing Floorplans,” in Proceedings of Design Automation Conference, pp. 458-463, 2000.
[8] Chris Chu and Yiu-Chung Wong, “FLUTE: Fast Lookup Table Based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 1, pp. 70-83, 2008.
[9] Jason Cong, Jie Fang, and Kei-Yong Khoo, “An implicit connection graph maze routing algorithm for ECO routing,” in Proceedings of International Conference on Computer-Aided Design, pp. 163-167, 1999.
[10] Jason Cong and Yuchun Ma, "Thermal-Aware 3D Floorplan," Integrated Circuits and Systems, pp. 63-102: New York Springer, 2010.
[11] Jason Cong, Jie Wei, and Yan Zhang, “A Thermal-Driven Floorplanning Algorithm for 3D ICs,” in Proceedings of International Conference on Computer-Aided Design, pp. 306-313, 2004.
[12] Thomas H. Cormen, Charles Eric Leiserson, Ronald Linn Rivest, and Clifford Stein, Introduction to Algorithms, 3rd Edition, The MIT Press, Cambridge, Massachusetts, 2009.
[13] Michael R. Garey and David S. Johnson, “The Rectilinear Steiner Tree Problem is NP-Complete,” in SIAM Journal on Applied Mathematics, vol. 32, no. 4, pp. 826-834, 1977.
[14] M. Hanan, “On Steiner’’s Problem with Rectilinear Distance,” in SIAM Journal on Applied Mathematics, vol. 14, no. 2, pp. 255-265, 1966.
[15] Ang-Chih Hsieh, Ting-Ting Hwang, Ming-Tung Chang, Min-Hsiu Tsai, Chih-Mou Tseng, and Hung-Chun Li, “TSV redundancy: Architecture and design issues in 3D IC,” in Proceedings of Design, Automation & Test in Europe Conference & Exhibition, pp. 166-171, 2010.
[16] Dae Hyun Kim, Krit Athikulwongse, and Sung Kyu Lim, “A study of throughsilicon-via impact on the 3D stacked IC layout,” in Proceedings of International Conference on Computer-Aided Design, pp. 674-680, 2009.
[17] Dae Hyun Kim, Saibal Mukhopadhyay, and Sung Kyu Lim, “Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs,” in Proceedings of international workshop on System level interconnect prediction, pp. 85-92, 2009.
[18] Dae Hyun Kim, Rasit Onur Topaloglu, and Sung Kyu Lim, “Block-level 3D IC design with through-silicon-via planning,” in Proceedings of Asia and South Pacific Design Automation Conference, pp. 335-340, 2012.
[19] Johann Knechtel, Igor Leonidovich Markov, and Jens Lienig, “Assembling 2D Blocks into 3D Chips,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 2, pp. 228-241, 2012.
[20] Hsien-Hsin S. Lee and Krishnendu Chakrabarty, “Test challenges for 3D integrated circuits,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 26-35, 2009.
[21] Jin-Yih Li and Yih-Lang Li, “An efficient tile-based ECO router with routing graph reduction and enhanced global routing flow,” in Proceedings of International Symposium on Physical Design, pp. 7-13, 2005.
[22] Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, and Chia-Lin Yang, “Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 4, pp. 643-653, 2008.
[23] Vivek S. Nandakumar and Malgorzata Marek-Sadowska, “Layout effects in fine grain 3D integrated regular microprocessor blocks,” in Proceedings of Design Automation Conference, pp. 639-644, 2011.
[24] Mohit Pathak, Young-Joon Lee, Thomas Moon, and Sung Kyu Lim, “Through-silicon-via management during 3d physical design: When to add and how many?,” in Proceedings of International Conference on Computer-Aided Design, pp. 387-394, 2010.
[25] Ming-Chao Tsai, Ting-Chi Wang, and Ting-Ting Hwang, “Through-silicon-via planning in 3-D floorplanning,” in IEEE Transactions on Very Large Scale Integration Systems, vol. 19, no. 8, pp. 1448-1457, 2011.
[26] M.Juergen Wolf, Peter Ramm, and Armin Klumpp, “Thru Silicon Via Technology: R&D@ Fraunhofer IZM,” in Fraunhofer IZM, Tech. Rep, 2008.
[27] Martin D. F. Wong and Chung Laung Liu, “A New Algorithm for Floorplan Design,” in Proceedings of Design Automation Conference, pp. 101-107, 1986.
[28] Zhang Xu and Jiang Xiaohong, “Redundant Vias Insertion for Performance Enhancement in 3D ICs,” in IEICE Transactions on electronic, pp. 509-519, 2008.
[29] Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, and David Z. Pan, “TSV stress aware timing analysis with applications to 3D-IC layout optimization,” in Proceedings of Design Automation Conference, pp. 803-806, 2010.
指導教授 陳泰蓁(Tai-Chen Chen) 審核日期 2012-8-15
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明