博碩士論文 995201125 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:27 、訪客IP:3.145.176.49
姓名 呂耕維(Keng-Wei Lu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於雙速率串列傳輸系統之 時脈與資料回復電路
(Dual-rate Clock and Data Recovery Circuit for Serial Link Data Transmission)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 隨半導體產業發展與電腦相關產業的興起,資料傳輸頻寬逐漸上升,傳統並列傳輸方式漸漸被串列傳輸取代,例如DisplayPort、SATA、USB、及PCI-E等皆使用串列傳輸介面。本論文參考SATA 2/3規格實現一個時脈與資料回復電路,其中以鎖相迴路(Phase Lock Loop, PLL)為主體,並利用多頻帶閘控制電壓控制振盪器(Multi-band Gated-Voltage-Control-Oscillator, GVCO)實現兩種操作速率並降低電壓控制振盪器增益。
此論文使用TSMC 90 nm製程實現一個3/6 Gbps之雙速率時脈與資料回復電路(Clock and Data Recovery, CDR),電路由四個部分所組成,分別是資料速率判斷電路、頻率資訊鎖相迴路、多頻帶閘控制電壓控制振盪器及時脈回復迴路。資料速率判斷用以偵測輸入資料的速率而調整電路頻寬與操作頻率;頻率資訊鎖相迴路可提供控制電壓與數位碼資訊供給多頻帶閘控制電壓控制振盪器對輸入資料進行解多工,將輸入資料速率降為原本的一半並且半速率(Half-rate)對齊輸入資料後再將對齊時脈送入時脈回復迴路;時脈回復迴路將還原出時脈以取樣輸入資料並還原資料。多頻帶閘控制電壓控制振盪器可實現兩種操作速率而避免使用多組不同振盪頻率之電壓控制振盪器,並且利用多頻帶之特性降低電壓控制振盪器增益,可降低電壓控制振盪器本身所造成的抖動。依據設計與模擬結果,抖動轉移函數頻寬為 2.1±1 MHz (SATA2)與4.2±2 MHz (SATA3)之間,在5 nH模擬打線電感之環境下,時脈峰對峰值抖動為28.3 ps(1.5 GHz) / 25.7 ps(3 GHz),總面積為1252×1086 um2,電路核心面積580 416 um2,供應電源為1 V下,功率消耗為115 mW。
摘要(英) In recent year, according to rapid development of process and computers, the data bandwidth increases progressively. The serial data transmission is widely used for bus instead of parallel data transmission, for example, DisplayPort, SATA, USB, PCI-E. This study presents a clock and data recovery (CDR), and takes SATA 2/3 specification as reference material.
This study presents the CDR circuit fabricated in a 90-nm CMOS process and realizes a 3/6 Gbps dual-rate clock and data recovery. The CDR circuit consists of a frequency information phase-locked-loop, a data recovery loop, a multi-band gated-voltage-control-oscillator and a specification detector. The CDR employs a phase-lock-loop as the data recovery (DR) loop and the multi-band gated-voltage-control-oscillator which operates at dual frequency and reduces the voltage-control-oscillator gain. The specification detector detects the input data rate and modifies the operating frequency and bandwidth. The frequency information phase-locked-loop provides a analog voltage and digital codes to control the multi-band gated-voltage-control-oscillator which used to demux the input data into half-rate data streams. The data recovery loop recovers the recovered clock which samples input data and recovers data. The multi-band gated-voltage-control-oscillator operates at dual-rate without two oscillators and realizes the multi-band mechanism to reduce the jitter.
In terms of the CDR setting, conforming that the gain of error signal E(s) of -3 dB lies at the jitter frequency of 2.1±1 MHz (SATA2) and 4.2±2 MHz (SATA3). The 3/6 Gb/s input data is simulated with the 5 nH wire bonding and the peak-to-peak jitter of the recovered clock is 28.3 ps(1.5 GHz) / 25.7 ps(3 GHz). The whole chip area is 1252×1086 um2 and chip core area is 580 416 um2. The total power consumption is around 115 mW at supply voltage of 1 V.
關鍵字(中) ★ 鎖相迴路
★ 閘控制電壓控制振盪器
★ 時脈與資料回復電路
關鍵字(英) ★ Phase-locked loop
★ gated voltage control oscillator
★ Clock and data recovery
論文目次 目錄
摘要 iii
Abstract v
誌謝 vii
目錄 viii
圖目錄 xii
表目錄 xvi
第1章 緒論 1
1.1 研究動機 1
1.2 論文架構 3
第2章 時脈資料回復電路之抖動考量 5
2.1 時脈抖動簡介 5
2.2 定量性抖動(Deterministic Jitter, DJ) 6
2.2.1 週期性抖動(Periodic Jitter, PJ) 6
2.2.2 資料相關抖動(Data Dependent Jitter, DDJ) 7
2.2.3 責任週期失真(Duty-Cycle Distortion, DCD) 8
2.3 隨機性抖動(Random Jitter, RJ) 9
2.4 眼圖分析 10
2.5 誤碼率(Bit Error Rate) 11
2.6 時脈資料回復電路抖動函數 13
2.6.1 抖動轉移函數(Jitter Transfer Function, JTF) 13
2.6.2 抖動容忍(Jitter Tolerance) 14
第3章 時脈資料回復電路簡介 17
3.1.1 串列傳輸與並列傳輸 18
3.1.2 資料型態 18
3.2 取樣速率 19
3.3 時脈資料回復電路設計與比較 20
3.3.1 鎖相迴路式時脈資料回復電路 20
3.3.2 混合鎖相迴路/延遲鎖相迴路式時脈資料回復電路 22
3.3.3 超取樣式時脈資料回復電路 23
3.3.4 相位選擇式時脈資料回復電路 24
3.3.5 閘控制數位控制振盪器式時脈與資料回復電路 25
第4章 應用於雙速率串列傳輸系統之時脈與資料回復電路 27
4.1 電路架構 27
4.2 操作說明 29
4.3 規格與系統分析 32
4.3.1 頻率資訊鎖相迴路系統分析 32
4.3.2 時脈回復迴路系統分析 36
4.4 電路設計 40
4.4.1 多頻段閘控制電壓控制振盪器(GVCO) 40
4.4.2 時脈回復迴路(Clock Recovered Circuit) 44
4.4.2.1 相位頻率偵測器(PFD) 45
4.4.2.2 電流幫浦(CP)與迴路濾波器(LPF) 48
4.4.2.3 除頻器 (Divider) 51
4.4.3 頻率資訊鎖相迴路(Frequency Information Phase Lock Loop) 52
4.4.3.1 自我校正機制(Self-Calibration Circuit) 53
4.4.4 鎖定偵測器 (Locked Detector) 57
4.4.5 資料速率判斷電路(Data Detecor) 58
4.4.5.1 數位頻率偵測器(Digital Frequency Detector) 59
4.4.5.2 規格判斷電路與暫存器(Spec. Detector & Register) 60
4.5 行為模型模擬-規格頻寬驗證 62
4.6 迴路模擬結果 64
4.6.1 頻率資訊鎖相迴路控制電壓模擬結果 64
4.6.2 頻率資訊鎖相迴路與時脈回復迴路控制電壓模擬結果 65
4.6.3 資料回復迴路模擬結果 67
4.6.4 頻寬測試模擬結果 69
4.7 規格比較表 74
第5章 晶片佈局與量測考量 77
5.1 電路佈局 77
5.1.1 晶片封裝 78
5.1.2 佈局規劃與電源規劃 80
5.2 量測考量 81
5.2.1 量測環境 81
5.2.2 印刷電路板 82
5.2.3 輸入資料緩衝器 83
5.2.4 輸出時脈與資料緩衝器 84
5.3 晶片與印刷電路板照相 85
5.4 量測結果 86
5.4.1 輸入緩衝器量測 86
5.4.2 頻率資訊鎖相迴路量測 88
5.4.3 時脈回復迴路量測 89
第6章 結論與未來研究方向 95
6.1 結論 95
6.2 未來改進方向 95
參考文獻 97
參考文獻 參考文獻
[1] PCI Express® Base Specification, Revision 2.1, PCI-SIG, 2010.
[2] Serial ATA International Organization: Serial ATA Revision 3.0, SATA-IO, 2009.
[3] WAVECREST Corporation, “Understanding Jitter,” 2001
[4] Tektronix , “數位示波器的應用抖動jitter 測量”
[5] Agilent Technologie, “Finding sources of jitter with real-time jitter analysis,” 2008.
[6] STMicroelectronics, “Improving a Jitter Definition,” 2007.
[7] L. Luo, J. Wilson, S. Mick, J. Xu, L. Zhang, E. Erickson, and P. Franzon, “A 36 Gb/s ACCI mutli-channel bus using a fully differential pulse receiver,” in Proc. IEEE Custom Integrated Circuits Conference, San Jose, CA, Sep. 2006, pp. 773–776.
[8] SHF Communication Technologies, “AG-Application Note AN-JITTER-1-Jitter Analysis using SHF 10000 Series Bit Error Rate TestersW,”, 2005.
[9] Agilent Technologies, “Measuring Jitter in Digital Systems”, Application Note 1448-1.
[10] Altera Corporation, “Deterministic Jitter (DJ) Definition and Measurement,” 2009.
[11] Maxim, “Optical receiver performance evaluation”
[12] Agilent, “Jitter Fundamentals Jitter Tolerance Testing with Agilent 81250 ParBERT”
[13] B. Razavi, “Design of Integrated Circuits For Optical Communications,” New York: McGraw-Hill, 2003.
[14] Maxim, “NRZ Bandwidth - HF Cutoff vs. SNR Application Note: HFAN-09.0.1.”
[15] R. Inti, W. Yin, A. Elshazly, N. Sasidar, and P. Kumar Hanumolu “A 0.5-to-2.5 Gb/s Reference-Less Half-Rate Digital CDR With Unlimited Frequency Acquisition Range and Improved Input Duty-Cycle Error Tolerance” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp.3150–3162, Dec. 2011.
[16] S.J. Song, S.M. Park, and H.j. Yoo, “A 4-Gb/s Clock and Data Recovery CircuitUsing Four-Phase 1/8-Rate Clock,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp.1213-1219, July 2003.
[17] W.Y Lee, K.D. Hwang, and L.S. Kim, “A 5.4/2.7/1.62-Gb/s Receiver for DisplayPort Version 1.2 with Multi-Rate Operation Scheme,” IEEE Transactions on Circuits and System I, vol. 59, no. 12, pp.2858–2866, Nov.2012
[18] W.Y. Lee, and L.S. Kim, “A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme with Minimal Phase Noise Degradation,” IEEE Transactions on Circuits and System I, vol. 59, no. 11, pp2518–2528, Nov.2012
[19] D. Dalton, K. Chai, E. Evans, M. Ferriss, D. Hitchcox, P. Murray, S. Selvanayagam, P. Shepherd, and L. DeVito, “12.5-Mb/s to 2.7-Gb/s Continuous-Rate CDR with Automatic Frequency Acquisition and Data-Rate Readback,” IEEE J. of Solid-State Circuits, vol. 40, no. 12, pp. 2713–2725, Dec. 2005.
[20] X. Maillard, F. Devisch, and M. Kuijk, “A 900-Mb/s CMOS data recovery DLL using half-frequency clock,” IEEE J. of Solid-State Circuits, vol. 37, no. 6, pp. 711-715, Jun. 2002.
[21] J. Kim and D.K. Jeong, “Multi-gigabit-rate clock and data recovery based on blind oversampling,” IEEE Commun. Mag., vol. 41, pp. 68-74, Dec. 2003.
[22] M. Nogawa, K. Nishimura, S. Kimura, T. Yoshida, T. Kawamura, M.Togashi, K. Kumozaki, and Y. Ohtomo, “A 10Gb/s burst-mode CDR IC in 0.13um CMOS,” IEEE International Solid-State Circuits Conference(ISSCC), pp. 228-229, Feb. 2005.
[23] C.F. Liang, H.L. Chu, and S.I. Liu,”10-Gb/s Inductorless CDRs With Digital Frequency Calibration" IEEE Transactions on Circuits and System I, vol. 55, no. 9, pp.2514- 2524, Oct. 2008.
[24] C.F. Liang, S.C. Hwu, and S.I. Liu, "A jitter-tolerance-enhanced CDR using a GDCO-based phase detector", IEEE J. of Solid-State Circuits, vol. 43, no.5 pp. 1217-1226, May 2008.
[25] P. Larsson, “A 2-1600 MHz CMOS clock recovery PLL with low-Vdd capability,” IEEE J. of Solid-State Circuits, vol. 34, no. 12, pp. 1951-1960, Dec. 1999.
[26] Y. Ohtomo, T. Kawamura, K. Nishimura, M. Nogawa, H. Koizumi, and M. Togashi, “A 12.5 Gb/s CMOS BER test using a jitter-tolerant parallel CDR,” IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2004, pp. 174–175.
[27] S. Byun, J. C. Lee, J.H. Shim, K. Kim, and H.K. Yu, “A 10-Gb/s CMOS CDR and DEMUXIC with a quarter-rate linear phase detector,” IEEE J. of Solid-State Circuits,vol. 41, no. 11, pp. 2566–2576, Nov. 2006.
[28] 劉深淵, 楊清淵, 鎖相迴路, 滄海書局民, 2006
[29] C.F. Liang, S.C. Hwu, and S.I. Liu, “A 10Gbps burst-mode CDR circuit in 0.18-um CMOS,” in Proc. 2006 IEEE Custom Integrated Circuits Conference, San Jose, CA, Sep. 2006, pp. 599–602.
[30] C.F. Liang, S.C. Hwu, and S.I. Liu, “A multi-band burst-mode clock and data recovery circuit,” IEICE Trans. Electron., vol. E90-C, pp. 802–810, Apr. 2007.
[31] P. Heydari, “Analysis of the PLL Jitter Due to Power/Ground and Substrate
Noise,” IEEE Transactions on Circuits and System I, vol. 51, no. 12, pp.2404- 2416, Dec. 2004.
[32] S.Kim, et al., “A 960-Mb/s/pin Interface for Skew-Tolerant Bus Using Low Jitter PLL,” IEEE J. of Solid-State Circuits, pp. 691-700, 1997.
[33] S.M. Paletmo, and J.P. de Gyve, “A multi-band single-loop PLL frequency synthesizer with dynamically-controlled switched tuning VCO,” IEEE Midwest Symposium on Circuits and Systems, pp. 818-821, 2000.
[34] L. Sun and D. Nelson, ” A 1V GHz Range 0.13um CMOS Frequency Synthesizer,” IEEE Custom Integrated Circuits Conference, pp. 327-330,2001.
[35] W.B. Wilson, et al., “A CMOS Self-Calibrating Frequency Synthesizer,” IEEE J. of Solid-State Circuits, pp. 1437-1444, 2000.
[36] J. Nakanishi, et al., “A Wide Lock-in Range PLL using Self-Calibrating Technique for Processors,” IEEE Asian Solid-State Circuits Conference, pp. 285-288, 2005.
[37] T.W. Ahn, C.G. Yoon, and Y. Moon, “An adaptive frequency calibration technique for fast locking wideband frequency synthesizers,” IEEE Midwest Symposium on Circuits and Systems, pp. 1899-1902, 2005.
[38] K.S. Lee, E.Y. Sung, I.C. Hwang, and B.H Park, “Fast AFC technique using a code estimation and binary search algorithm for wideband frequency synthesis,” IEEE European Solid-State Circuits Conference, pp. 181-184, 2005.
[39] S. Ali, and M. Margala, “A 2.4-GHz Auto-calibration Frequency Synthesizer with on-chip Built-In-Self-Test Solution,” IEEE International Symposium on Circuits and Systems, pp. 4651-4654, 2006.
[40] S. Ali, G. Briggs, and M. Margala, “A High Frequency, Low Jitter Auto-Calibration Phase-Locked Loop with Built-in-Self-Test,” IEEE International Symposium on Defect and Fault Tolerance, pp. 591-599,2009
[41] I.C. Hwang, S.H. Song, and S.W. Kim, “A Digitally Controlled PLL with a Digital Phase-Frequency Detector for Fast Acquisition,” IEEE J. of Solid-State Circuits, vol. 36,no. 10, Oct. 2001.
[42] C.F. Liang, S.H. Chen, and S.I. Liu, “A Digital Calibration Technique for Charge Pumps in Phase-Locked Systems,” IEEE J. of Solid-State Circuits, vol. 43, no. 2, pp390-398, February 2008.
[43] O. Tyshchenko, A. Sheikholeslami, H. Tamura, M. Kibune, H. Yamaguchi, and J. Ogawa, “A 5 Gb/s ADC-based feed-forward CDR in 65 nm CMOS,” IEEE J. of Solid-State Circuits, vol. 45, no. 6, pp. 1091–1098, Jun. 2010.
[44] Y.S Tan, K.S. Yeo, C.C. Boon, and M.A. Do, “A Dual-Loop Clock and Data Recovery Circuit With Compact Quarter-Rate CMOS Linear Phase Detector,” IEEE Transactions on Circuits and System I, vol. 59, no. 6,pp 1156-1167, Jun. 2012.
[45] “RF CMOS Design Flow”, 國家晶片系統設計中心, 民國九十二年。
指導教授 鄭國興(Kuo-Hsing Cheng) 審核日期 2013-11-29
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明