博碩士論文 107521031 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:52 、訪客IP:3.138.122.162
姓名 蔡采玲(Tsai-Ling Tsai)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 基於靜態隨機存取記憶體的內存計算記憶體測試
(Testing of SRAM-Based In-Memory-Computing Memories)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2026-10-6以後開放)
摘要(中) 大多現代計算機系統是建立在 馮紐曼(von Neumann)架構上,也就是架構上記憶體及處理器是分開來的,當資料在這兩者之間做搬移時,會造成能量的耗損以及遭遇表現上的限制,尤其是面對需要大量資料處理的應用,內存計算(CIM)是一種為解決這種瓶頸而提出的解決方式,CIM記憶體有記憶體模式與計算(computing)模式。現今已有多種靜態隨機存取記憶體(SRAM)單元(cell)及SRAM的模型用來實現CIM。這使得測試CIM記憶體比測試傳統記憶體更為困難。在此篇論文我們提出一個測試CIM SRAM的方法,包括比較缺陷(defect)導致的故障(fault)模型,還有如何發展測試演算法。一開始我們會先介紹CIM SRAMs在記憶體模式與計算模式中建立故障模型的方式,接著比較缺陷在這兩種模式導致的故障模型,最後介紹如何發展CIM SRAM的測試演算法。我們所提出的方法會使用8T及10T SRAM單元作個案討論。具有8T和10T SRAM單元的CIM SRAM的故障是透過注入電氣缺陷來定義的,接著比較在記憶體模式和計算模式中發生的故障模型,最後針對記憶體模式與計算模式的故障分別開發了測試演算法。
摘要(英) Most modern computer systems are based on von Neumann architecture, which separates the memory and the processor. The data movement between the memory and the processor
limits the performance and power consuming, especially for data-intensive applications. Computing-In-Memory (CIM) architecture is one possible approach to cope with the bottleneck. A CIM memory can be operated in memory mode or computing mode. Also, various memory cells were proposed to realize CIM memories. Those make the testing of CIM memories
to be more difficult than that of conventional memories. In this thesis, we propose a test methodology for CIM SRAMs, including fault modeling, fault collapsing by defects, and
test development. A fault modeling method of CIM SRAMs in memory mode and computing mode is introduced first. Subsequently, faults in memory mode and computing mode are collapsed by defects. Then, a test development method for CIM SRAMs is introduced. The proposed test methodology applied to CIM SRAMs with 8T and 10T SRAM cells are presented as case studies. Faults of the CIM SRAMs with 8T and 10T SRAM cells are defined by injecting electrical defects. Then, faults occur in both memory mode and computing mode are collapsed by defects. Finally, test algorithms are developed for the faults in memory mode and computing mode.
關鍵字(中) ★ 靜態隨機存取記憶體
★ 記憶體測試
★ 錯誤模型
關鍵字(英) ★ SRAM
★ memory testing
★ computing-in-memory
★ CIM
★ Fault model
論文目次 1 Introduction 1
1.1 Computing In Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 SRAM-Based CIM Memories . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.3 Previous Works . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.4 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.5 Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.6 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
2 Test Methodology for SRAM-based CIM Memories 7
2.1 CIM SRAMs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
2.1.1 Digital CIM SRAMs . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
2.1.2 Analog CIM SRAMs . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.2 Fault Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.2.1 SPICE Model Building . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.2.2 Fault Analysis of Memory Array . . . . . . . . . . . . . . . . . . . . . 17
2.3 Fault Collapsing By Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.4 Test Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
2.4.1 Test for Memory Array . . . . . . . . . . . . . . . . . . . . . . . . . . 21
2.4.2 Test for Peripheral Circuit . . . . . . . . . . . . . . . . . . . . . . . . 23
3 Case Study: Testing of CIM SRAMs with 10T and 8T Cells 25
3.1 Fault Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
3.1.1 SPICE Model Building . . . . . . . . . . . . . . . . . . . . . . . . . . 25
3.1.2 Defect Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
3.1.3 Flow of the Fault Analysis . . . . . . . . . . . . . . . . . . . . . . . . 31
3.1.4 Fault Analysis in Memory Mode . . . . . . . . . . . . . . . . . . . . . 31
3.1.5 Fault Analysis in Computing Mode . . . . . . . . . . . . . . . . . . . 35
3.2 Defined Faults and Corresponding Defects . . . . . . . . . . . . . . . . . . . 40
3.2.1 Defined Faults for 10T SRAM in Memory Mode . . . . . . . . . . . . 40
3.2.2 Defined Faults for 10T BL-Computing SRAM in Computing Mode . 41
3.2.3 Case Study: 10T SA-computing SRAM in Computing Mode . . . . . 47
3.2.4 Case Study: 8T2P CIM SRAM in Memory Mode . . . . . . . . . . . 50
3.2.5 Case Study: 8T2P CIM SRAM in Computing Mode . . . . . . . . . . 50
3.2.6 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
3.3 Fault Collapsing By Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
3.3.1 Fault Collapsing By Defects: 10T BL-Computing SRAM . . . . . . . 61
3.3.2 Fault Collapsing By Defects: 10T SA-computing SRAM . . . . . . . 62
3.3.3 Fault Collapsing By Defects: 8T2P CIM SRAM . . . . . . . . . . . . 63
3.4 Test Algorithm Development . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
4 Conclusion and Future Work 68
參考文獻 [1] M. Kang and N. R. Shanbhag, “In-memory computing architectures for sparse distributed
memory,” IEEE Trans. on biomedical circuits and systems, vol. 10, no. 4, pp.
855–863, 2016.
[2] J. Zhang, Z. Wang, and N. Verma, “In-memory computation of a machine-learning
classifier in a standard 6T SRAM array,” IEEE Jour. of Solid-State Circuits, vol. 52,
no. 4, pp. 915–924, Apr. 2017.
[3] A. Agrawal, A. Jaiswal, D. Roy, B. Han, G. Srinivasan, A. Ankit, and K. Roy, “Xcel-
RAM: accelerating binary neural networks in high-throughput SRAM compute arrays,”
[Online]. Available: https://arxiv.org/abs/1802.08601, 2018.
[4] X. Si, J.-J. Chen, Y.-N. Tu, W.-H. Huang, J.-H. Wang, Y.-C. Chiu, W.-C. Wei, S.-Y.
Wu, X. Sun, R. Liu, et al., “A twin-8T SRAM computation-in-memory unit-macro for
multibit CNN-based AI edge processors,” IEEE Jour. of Solid-State Circuits, vol. 55,
no. 1, pp. 189–202, 2019.
[5] A. Jaiswal, I. Chakraborty, A. Agrawal, and K. Roy, “8T SRAM cell as a multibit
dot-product engine for beyond von Neumann computing,” IEEE Trans. on Very Large
Scale Integration (VLSI) Systems, vol. 27, no. 11, pp. 2556–2567, 2019.
[6] Y. Zhang, L. Xu, Q. Dong, J. Wang, D. Blaauw, and D. Sylvester, “Recryptor: a
reconfigurable cryptographic Cortex-M0 processor with in-memory and near-memory
computing for IoT security,” IEEE Jour. of Solid-State Circuits, vol. 53, no. 4, pp.
995–1005, Apr. 2018.
[7] G. Santoro, G. Turvani, and M. Graziano, “New logic-in-memory paradigms: An architectural
and technological perspective,” Micromachines, vol. 10, no. 6, p. 368, 2019.
[8] A. Sebastian, M. Le Gallo, R. Khaddam-Aljameh, and E. Eleftheriou, “Memory devices
and applications for in-memory computing,” Nature nanotechnology, vol. 15, no. 7, pp.
529–544, 2020.
[9] S. Mittal, G. Verma, B. Kaushik, and F. A. Khanday, “A survey of SRAM-based
processing-in-memory techniques and applications,” 2021.
[10] S. Mittal, “A survey of ReRAM-based architectures for processing-in-memory and neural
networks,” Machine learning and knowledge extraction, vol. 1, no. 1, pp. 75–114,
2019.
[11] Z. He, S. Angizi, and D. Fan, “Exploring STT-MRAM based in-memory computing
paradigm with application of image edge extraction,” in IEEE Int’l Conf. on Computer
Design (ICCD), 2017, pp. 439–446.
[12] A. Agrawal, A. Jaiswal, C. Lee, and K. Roy, “X-SRAM: enabling in-memory boolean
computations in CMOS static random access memories,” IEEE Trans. on Circuits and
Systems I: Regular Papers, vol. 65, no. 12, pp. 4219–4232, Dec. 2018.
[13] S. Jeloka, N. Bharathwaj, and D. Sylvester, “A 28nm configurable memory
(TCAM/BCAM/SRAM) using push-rule 6T bit cell enabling logic-in-memory,” IEEE
Jour. of Solid-State Circuits, vol. 51, no. 4, pp. 1009–1021, Apr. 2016.
[14] H.-C. Chen, J.-F. Li, C.-L. Hsu, and C.-T. Sun, “Configurable 8T SRAM for enbling
in-memory computing,” in Int. Conf. on Communication Engineering and Technology
(ICCET). IEEE, 2019, pp. 139–142.
[15] Q. Dong, S. Jeloka, M. Saligane, Y. Kim, M. Kawaminami, A. Harada, S. Miyoshi,
M. Yasuda, D. Blaauw, and D. Sylvester, “An 4T+2T SRAM for searching and inmemory
computing with 0.3-V VDDmin,” IEEE Jour. of Solid-State Circuits, vol. 53,
no. 4, pp. 1006–1014, Apr. 2018.
[16] M. Kang, S. K. Gonugondla, A. Patil, and N. R. Shanbhag, “A multi-functional inmemory
inference processor using a standard 6T SRAM array,” IEEE Jour. of Solid-
State Circuits, vol. 53, no. 2, pp. 642–655, 2018.
[17] K.-J. Lin and C.-W. Wu, “Testing content-addressable memories using functional fault
models and march-like algorithms,” IEEE Trans. on Computer-Aided Design of Inte-
grated Circuits and Systems, vol. 19, no. 5, pp. 577–588, 2000.
[18] K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (CAM) circuits
and architectures: A tutorial and survey,” IEEE Jour. of Solid-State Circuits, vol. 41,
no. 3, pp. 712–727, 2006.
[19] Y.-J. Huang and J.-F. Li, “Testing ternary content addressable memories with active
neighbourhood pattern-sensitive faults,” IET Computers & Digital Techniques, vol. 1,
no. 3, pp. 246–255, 2007.
[20] A. J. Van de Goor, Testing semiconductor memories: theory and practice. John Wiley
& Sons, Inc., 1991.
[21] A. K. Sharma, “Semiconductor memories: Technology testing and reliability.” Institute
of Electrical and Electronics Engineers, 1997.
[22] L. Dilillo, P. Girard, S. Pravossoudovitch, A. Virazel, S. Borri, and M. Hage-Hassan,
“Resistive-open defects in embedded-SRAM core cells: Analysis and march test solution,”
in Proc. IEEE Asian Test Symp. (ATS), 2004, pp. 266–271.
[23] R. A. Fonseca, L. Dilillo, A. Bosio, P. Girard, S. Pravossoudovitch, A. Virazel, and
N. Badereddine, “Analysis of resistive-bridging defects in SRAM core-cells: A comparative
study from 90nm down to 40nm technology nodes,” in Proc. IEEE European Test
Symp. (ETS), 2010, pp. 132–137.
[24] S. Hamdioui and A. J. van de Goor, “Consequences of port restrictions on testing
address decoder faults in two-port memories,” in Proc. IEEE Asian Test Symp. (ATS),
1998, pp. 340–347.
[25] A. J. Van de Goor and S. Hamdioui, “Fault models and tests for two-port memories,”
in Proc. IEEE VLSI Test Symp. (VTS), 1998, pp. 401–410.
[26] S. Hamdioui and A. J. Van de Goor, “Efficient tests for realistic faults in dual-port
SRAMs,” IEEE Trans. on Computers, vol. 51, no. 5, pp. 460–473, 2002.
[27] C.-F. Wu, C.-T. Huang, K.-L. Cheng, C.-W. Wang, and C.-W. Wu, “Simulation-based
test algorithm generation and port scheduling for multi-port memories,” in Proceedings
of the 38th annual Design Automation Conference, 2001, pp. 301–306.
[28] N. Mohan, W. Fung, D. Wright, and M. Sachdev, “Design techniques and test methodology
for low-power TCAMs,” IEEE Trans. on Very Large Scale Integration (VLSI)
Systems, vol. 14, no. 6, pp. 573–586, 2006.
[29] J.-F. Li, T.-L. Tsai, C.-L. Hsu, and C.-T. Sun, “Testing of configurable 8T SRAMs for
in-memory computing,” in Proc. IEEE Asian Test Symp. (ATS), 2020, pp. 1–5.
[30] T.-L. Tsai, J.-F. Li, C.-L. Hsu, and C.-T. Sun, “Testing of in-memory-computing 8T
SRAMs,” in IEEE Int’l Symp. on Defect and Fault Tolerance in VLSI and Nanotech-
nology Systems (DFT), 2019, pp. 1–4.
[31] ——, “Testing of in-memory-computing memories with 8T SRAMs,” Microelectronics
Reliability, vol. 123, p. 114215, 2021.
[32] S. M. Nair, C. M¨unch, and M. B. Tahoori, “Defect characterization and test generation
for spintronic-based compute-in-memory,” in Proc. IEEE European Test Symp. (ETS),
2020, pp. 1–6.
[33] S. Hamdioui, M. Fieback, S. Nagarajan, and M. Taouil, “Testing computation-inmemory
architectures based on emerging memories,” in Proc. Int’l Test Conf. (ITC),
2019, pp. 1–10.
[34] M. Fieback, S. Nagarajan, R. Bishnoi, M. Tahoori, M. Taouil, and S. Hamdioui, “Testing
scouting logic-based computation-in-memory architectures,” in Proc. IEEE European
Test Symp. (ETS), 2020, pp. 1–6.
[35] R. Bishnoi, L. Wu, M. Fieback, C. M¨unch, S. M. Nair, M. Tahoori, Y. Wang, H. Li, and
S. Hamdioui, “Special session–emerging memristor based memory and CIM architecture:
Test, repair and yield analysis,” in Proc. IEEE VLSI Test Symp. (VTS), 2020, pp. 1–10.
[36] K. Lee, J. Jeong, S. Cheon, W. Choi, and J. Park, “Bit parallel 6T SRAM in-memory
computing with reconfigurable bit-precision,” in Proc. ACM/IEEE Design Automation
Conference (DAC), 2020, pp. 1–6.
[37] N. Surana, M. Lavania, A. Barma, and J. Mekie, “Robust and high-performance 12-T
interlocked SRAM for in-memory computing,” in Proc. Conf. Design, Automation, and
Test in Europe (DATE). IEEE, 2020, pp. 1323–1326.
[38] L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye,
L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams, et al., “Stable SRAM cell design
for the 32 nm node and beyond,” in IEEE Symposium on VLSI Technology, 2005, pp.
128–129.
[39] S. Srinivasa, A. K. Ramanathan, X. Li, W.-H. Chen, S. K. Gupta, M.-F. Chang,
S. Ghosh, J. Sampson, and V. Narayanan, “ROBIN: Monolithic-3D SRAM for enhanced
robustness with in-memory computation support,” IEEE Trans. on Circuits
and Systems I: Regular Papers, vol. 66, no. 7, pp. 2533–2545, 2019.
[40] S. Okumura, M. Yabuuchi, K. Hijioka, and K. Nose, “A ternary based bit scalable,
8.80 TOPS/WCNN accelerator with many-core processing-in-memory architecture with
896k synapses/mm2,” in VLSI Circuits (VLSIC), IEEE Symposium, 2019, pp. C248–
C249.
[41] S. Yung, “Testing and design-for-reliability techniques for specific semiconductor memories,”
2009.
[42] S. Hamdioui, Z. Al-Ars, and A. J. Van de Goor, “Testing static and dynamic faults in
random access memories,” in Proc. IEEE VLSI Test Symp. (VTS), 2002, pp. 395–400.
[43] R. Dekker, F. Beenker, and L. Thijssen, “A realistic fault model and test algorithms for
static random access memories,” IEEE Trans. on Computer-Aided Design of Integrated
Circuits and Systems, vol. 9, no. 6, pp. 567–572, 1990.
[44] A. J. Van de Goor, S. Hamdioui, and R. Wadsworth, “Detecting faults in the peripheral
circuits and an evaluation of SRAM tests,” in Proc. Int’l Test Conf. (ITC). IEEE,
2004, pp. 114–123.
[45] K. Zarrineh, A. P. Deo, and R. D. Adams, “Defect analysis and realistic fault model extensions
for static random access memories,” in Proc. IEEE Int’l Workshop on Memory
Technology, Design and Testing (MTDT), 2000, pp. 119–124.
[46] H.-W. Ting, B.-D. Liu, and S.-J. Chang, “A histogram-based testing method for estimating
A/D converter performance,” IEEE Transactions on Instrumentation and Mea-
surement, vol. 57, no. 2, pp. 420–427, 2008.
[47] B. Mohammad, P. Dadabhoy, K. Lin, and P. Bassett, “Comparative study of current
mode and voltage mode sense amplifier used for 28nm SRAM,” in Proc. Int’l Conf. on
Microelectronics (ICM). IEEE, 2012, pp. 1–6.
[48] T. S. Copetti, T. R. Balen, G. C. Medeiros, and L. M. Poehls, “Analyzing the behavior
of FinFET SRAMs with resistive defects,” in Proc. IFIP/IEEE Int’l Conf. on Very
Large Scale Integration (VLSI-SoC), 2017, pp. 1–6.
[49] A. J. Van De Goor, “Using march tests to test SRAMs,” IEEE Design & Test of
Computers, vol. 10, no. 1, pp. 8–14, 1993.
指導教授 李進福(Jin-Fu Li) 審核日期 2021-10-12
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明