摘要(英) |
With the evolution of technology nodes and the improvement of process technology, transistors and metal wires can be scaled down, more transistors can be accommodated in a unit area. However, as the area is reduced, the degree of metal wire routing will become more and more complicated. Since the length of the interconnect metal is increased, the resistance value of the metal wire is increased. On the other hand, the smaller the distance between the metal wires, the metal to metal coupling cannot be ignored. Therefore, this thesis uses the monolithic 3D stacking technology to optimize the circuit characteristics considering different 3D stacking designs.
The logic circuits discussed in this thesis includes Inverter, NAND and NOR. We analyze the characteristics of the logic circuit by using Sentaurus Structure Editor (SDE) from Synopsys TCAD to build a three-dimensional structure. In the first part, we introduce the device structure, fin field effect transistor (FinFET), and the metal wire structure parameters of middle-of-line (MOL) and back-end-of-line (BEOL). In the second part, we use the Transistor-Level monolithic 3D stacking technique to design logic circuits, this thesis investigated three Transistor-Level monolithic 3D stacking schemes, including Folding, Stitching and Separating. All the Transistor-Level monolithic 3D stacking schemes in this thesis are designed with N-type transistors on the top-tier and P-type transistors on the bottom-tier. The purpose is to adjust the manufacturing process and optimize the transistor characteristics independently.
In the third part, we analyze the logic circuits of Transistor-Level monolithic 3D stacking schemes compared with traditional 2D stacking. The proposed monolithic 3D stacking designs can reduce not only the logic circuit area, but also interconnect length that makes wire routing resistance low and reduces the delay time. |
參考文獻 |
[1] Jurczak, Malgorzata, et al. "Review of FINFET technology." 2009 IEEE international SOI conference. IEEE, 2009.
[2] Smith, Jeffrey A., et al. "Investigation of electrically gate-all-around hexagonal nanowire FET (HexFET) architecture for 5 nm node logic and SRAM applications." 2017 47th European Solid-State Device Research Conference (ESSDERC). IEEE, 2017.
[3] Colinge, Jean-Pierre, et al. "Nanowire transistors without junctions." Nature nanotechnology 5.3 (2010): 225-229.
[4] Jang, Doyoung, et al. "Device exploration of nanosheet transistors for sub-7-nm technology node." IEEE Transactions on Electron Devices 64.6 (2017): 2707-2713.
[5] Shen, Wen-Wei, and Kuan-Neng Chen. "Three-dimensional integrated circuit (3D IC) key technology: through-silicon via (TSV)." Nanoscale research letters 12.1 (2017): 1-9.
[6] Lee, Young-Joon, Patrick Morrow, and Sung Kyu Lim. "Ultra high density logic designs using transistor-level monolithic 3D integration." 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 2012.
[7] Ryckaert, J., et al. "The Complementary FET (CFET) for CMOS scaling beyond N3." 2018 IEEE Symposium on Vlsi Technology. IEEE, 2018.
[8] Yeap, Geoffrey. "Smart mobile SoCs driving the semiconductor industry: Technology trend, challenges and opportunities." 2013 IEEE International Electron Devices Meeting. IEEE, 2013.
[9] I.R. Committee, "International Technology Road map for Semiconductors, "2020 Edition. Semiconductor Industry Association.
[10] Kim, Dae Hyun, Saibal Mukhopadhyay, and Sung Kyu Lim. "Fast and accurate analytical modeling of through-silicon-via capacitive coupling." IEEE Transactions on Components, Packaging and Manufacturing Technology 1.2 (2011): 168-180.
[11] Yan, Chen, and Emre Salman. "Mono3D: Open source cell library for monolithic 3-D integrated circuits." IEEE Transactions on Circuits and Systems I: Regular Papers 65.3 (2017): 1075-1085.
[12] C. Shen et al., "Monolithic 3D chip integrated with 500ns NVM, 3ps logic circuits and SRAM," 2013 IEEE International Electron Devices Meeting,Washington, DC, 2013, pp. 9.3.1-9.3.4.
[13] Yu, Yun Seop, Shreepad Panth, and Sung Kyu Lim. "Electrical coupling of monolithic 3-D inverters." IEEE Transactions on Electron Devices 63.8 (2016): 3346-3349.
[14] Clark, Lawrence T., et al. "ASAP7: A 7-nm finFET predictive process design kit." Microelectronics Journal 53 (2016): 105-115.
[15] Wu, Yung-Chun, and Yi-Ruei Jhan. "Introduction of synopsys sentaurus TCAD simulation." 3D TCAD Simulation for CMOS Nanoeletronic Devices. Springer, Singapore, 2018. 1-17.
[16] Lee, Young-Joon, Patrick Morrow, and Sung Kyu Lim. "Ultra high density logic designs using transistor-level monolithic 3D integration." 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 2012.
[17] Shi, Jiajun, et al. "A 14nm FinFET transistor-level 3D partitioning design to enable high-performance and low-cost monolithic 3D IC." 2016 IEEE International Electron Devices Meeting (IEDM). IEEE, 2016.
[18] Yoon, Jun-Sik, et al. "Performance, Power, and Area of Standard Cells in Sub 3 nm Node Using Buried Power Rail." IEEE Transactions on Electron Devices 69.3 (2022): 894-899.
[19] Mathur, R., et al. "Buried Bitline for sub-5nm SRAM Design." 2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 2020.
[20] Ku, Bon Woong, et al. "Transistor-level monolithic 3D standard cell layout optimization for full-chip static power integrity." 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). IEEE, 2017.
[21] Jiang, Junkai, et al. "Ultimate monolithic-3D integration with 2D materials: Rationale, prospects, and challenges." IEEE Journal of the Electron Devices Society 7 (2019): 878-887.
[22] Shi, Jiajun, et al. "On the design of ultra-high density 14nm finfet based transistor-level monolithic 3d ics." 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, 2016. |