博碩士論文 104581004 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:37 、訪客IP:3.89.116.152
姓名 蔡志偉(Chih-Wei Tsai)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 高速串列接收器之可適性改善關鍵技術
(Key Technologies for Adaptability Improvement of High-Speed Serial Link Receivers)
相關論文
★ 一種應用於觸控液晶顯示器的新型嵌入式開關★ 多重相位之延遲鎖定迴路倍頻器設計與分析
★ 2.5Gbps串列收發器設計★ 具低抖動與可適應式頻寬之自我偏壓鎖相迴路設計
★ 應用於串列傳輸之2.5GB/s CMOS 超取樣資料回復電路★ 全數位任意責任週期之同步映射延遲電路
★ 全數位式互補金屬氧化半導自我取樣延遲線電路用於時脈抖動量測★ 500MHz,30個相位輸出之鎖相迴路應用於三倍超取樣時脈回復系統
★ 設計於90奈米製程輸出頻率為100MHz-1GHz之具可適應性頻寬鎖相迴路★ 高解析度可變動責任週期之同步複製延遲電路
★ 奈米CMOS晶片內序列傳輸之接收器★ 奈米CMOS晶片內序列傳輸之送器
★ 基於鎖相迴路之多重相位脈波產生器★ 低能量時脈儲存元件之分析、設計與量測
★ 具有預先增強器之Gbps串列連結傳送器及全數位超取樣資料回復器★ 應用於10Gbps晶片系統傳輸鏈之低抖動自我校準鎖相迴路設計
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2027-9-1以後開放)
摘要(中) 隨著半導體製程技術的進步,作為現今資料傳輸的主要架構,高速串列連結系統(High-Speed Serial Link System)正被推向更高的資料速率以滿足頻寬需求的爆炸性增長。在增加傳輸頻寬與功能的同時,高速串列連結系統必須與當前規格兼容,以滿足特定平台的需求。此外,為了減少重複設計,高速串列連結系統必須設計為適應各種操作條件以滿足不同的應用。因此,自適應設計在現今的高速串列連結系統中起著重要作用。
隨著資料傳輸速率被推到更高,收發器(Transceiver)還必須與當前標準兼容,以滿足各別平台的頻寬需求。然而,前向時脈架構(Forwarded Clock Architecture)中的接收器(Receiver, RX)的可用範圍受到延遲鎖定迴路(Delay-Locked Loop, DLL)的操作範圍所限制。因此,本文提出一個適用於各種雙倍資料速率(Double Data Rate, DDR)記憶體應用的寬範圍全數位延遲鎖定迴路。為了延伸操作範圍,所提出之架構透過諧波鎖定偵測與自動校正技術來改變目標鎖定週期,從而解決諧波鎖定問題。實驗結果表明所提出之延遲鎖定迴路的操作範圍為0.1至2.7 GHz,其中最大鎖定時間為143個週期。
此外,隨著資料速率的不斷提高,傳輸介質的頻寬限制嚴重衰減了傳輸資料的高頻成分。此衰減增加了資料的轉態時間,從而影響相鄰資料的轉態位置與擺幅,稱為符碼間干擾(Intersymbol Interference, ISI)。現今收發器通常採用多種等化器補償符碼間干擾,使得補償過程複雜化。除此之外,不同的傳輸介質與纜線長度所對應的損耗曲線彼此之間也存在顯著差異。這些因素導致等化器無法最佳化補償並降低接收資料的訊號完整度(Signal Integrity, SI)。因此,本文提出一個統一的自適應系統,可以應用於不同的等化器並實現準確的自適應。所提出的自適應系統提取目標符碼間干擾,並相應地獨立調整每個等化器的補償增益。實驗結果表明,所提出的自適應等化器的補償範圍為2.6至22.6 dB,補償範圍比為8.69。在5 Gb/s時的總功率消耗為12.5 mW。
除了符碼間干擾之外,高資料速率還會導致傳輸資料受到電源與設備雜訊,以及發射器與接收器之間頻率不匹配的影響。這些干擾導致傳輸資料抖動並降低收發器的誤碼率(Bit Error Rate, BER)。為了確保功能正確,時脈與資料回復電路(Clock and Data Recovery Circuit, CDR)必須容忍抖動,並恢復資料與時脈。因此,本文採用數位自適應迴路增益控制器與低擺幅的數位類比轉換器(Digital-to-Analog Converter, DAC)來提高時脈與資料回復電路的抖動容忍度(Jitter Tolerance, JTOL)效能。自適應迴路增益控制器根據輸入抖動來動態調整時脈與資料回復電路的迴路增益,從而提升相位追蹤能力。而低擺幅的數位類比轉換器降低了輸出非線性與脈衝干擾,從而降低了迴路增益不匹配與相位偏移。這些設計技術改善了時脈與資料回復電路的相位追蹤行為,進而提高了抖動容忍度效能。此外,由於所提出之自適應迴路增益控制器採用簡易的數位邏輯電路實現,因此具有低功耗、小面積與可靠的特色。實驗結果表明,抖動容忍度在控制範圍內均得到改善,且最小抖動容忍度為0.4 UIpp。操作在5 Gb/s時的時脈與資料回復電路整體功耗為16.8 mW。
這些本文所提出的技術可以有效提升串列連接接收器的工作範圍、可補償通道衰減範圍以及抖動容忍度,進而強化接收器的可適性以滿足應用需求。
摘要(英) Owing to the advancements in semiconductor fabrication technology, as the major architecture in modern data transmission, high-speed serial link systems are being pushed to higher data rates to meet the explosion of bandwidth requirements. While increasing transmission bandwidth and functionality, the high-speed serial link systems must be compatible with current standards to satisfy platform-specific requirements. Additionally, in order to reduce repetitive design, high-speed serial link systems must be designed to be accommodate a variety of operating conditions to meet different applications. Thus, the concept of adaptive design plays an important role in modern high-speed serial link systems.
As the transmitted data rates have been pushed to higher, the transceivers must also be compatible with current standards to satisfy platform-specific bandwidths. However, the operating range of receivers (RXs) in the forwarded clock architecture is limited by the operating range of delay-locked loops (DLLs). Thus, this dissertation proposes a wide-range all-digital DLL for various double data rate (DDR) memory applications. To extend the operating range, the proposed architecture adopts the harmonic locking detection and autocalibration technologies to change the target locking cycle, thereby solving the harmonic locking problem. The experimental results indicate that the operating range of the proposed DLL was from 0.1 to 2.7 GHz with a maximum locking time of 143 cycles.
Besides, as the data rates continue to increase, the bandwidth limit of transmission medium severely attenuates the high-frequency content of the transmitted data. This attenuation increases the transition time of data, thereby affecting the transition position and swing of adjacent data, which is called intersymbol interference (ISI). Modern transceivers usually employ various equalizers to compensate the ISI, which complicates the compensation process. In addition, the corresponding loss profile is significant different from each other because of variations in transmission medium and cable length. These factors result in suboptimal equalization and degrade the signal integrity (SI) of the received data. Therefore, this dissertation proposes a unified adaptation system that can be applied to different equalizers and achieve accurate adaptation. The proposed adaptation system extracts target ISI and independently adjusts the compensation gain of each equalizer accordingly. The experimental results indicate the compensation range of proposed adaptive equalizer was 2.6–22.6 dB, and the compensation range ratio was 8.69. The total power consumption at 5 Gb/s was 12.5 mW.
In addition to the ISI, the high data rates result in the transmitted data suffer from power and device noises, as well as frequency mismatches between transmitters and receivers. These disturbances affect data jitter and degrades the bit error rate (BER) of transceivers. To ensure functionality, clock and data recovery circuits (CDRs) must tolerate jitters, and recover data and clock. Thus, this dissertation adopts a digital adaptive loop gain controller (ALGC) and a low-swing digital-to-analog converter (DAC) to improve the jitter tolerance (JTOL) performance of CDR. The ALGC dynamically adjusts the loop gain of CDR according to the input jitter, thereby improving the phase tracking capability. The low-swing DAC decreases the output nonlinearity and glitches, thereby decreasing the loop gain mismatch and phase offset. These design techniques improve the phase tracking behavior of CDR, therefore enhancing JTOL performance. Additionally, since the proposed ALGC is implemented by a simple digital logic circuit, it has the characteristics of low power consumption, small area, and reliability. The experimental results demonstrated that the JTOL performance was improved within the control range, with a minimum JTOL of 0.4 UIpp. The total power consumption of CDR when operating at 5 Gb/s was 16.8 mW.
These technologies proposed in this dissertation can effectively improve serial link RX in terms of operating range, compensable channel loss range, and JTOL, thereby enhancing the adaptability of RX to meet the requirements of applications.
關鍵字(中) ★ 高速串列連結
★ 可適性
★ 延遲鎖定迴路
★ 等化器
★ 資料與時脈回復電路
關鍵字(英) ★ High-Speed Serial Link
★ Adaptability
★ Delay-Locked Loop
★ Equalizer
★ Clock and Data Recovery Circuit
論文目次 摘要 i
Abstract iii
Contents vi
List of Figures ix
List of Tables xiv
Chapter 1 Introduction 1
1.1 Development of High-Speed Serial Link Typology 1
1.1.1 Forwarded Clock Architecture 3
1.1.2 Embedded Clock Architecture 3
1.2 Motivation 4
1.3 Dissertation Organization 7
Chapter 2 Fundamental of the Critical Building Blocks in the High-Speed Serial Link Receivers 9
2.1 Performance Metrics 9
2.1.1 Channel Loss 9
2.1.2 Jitter Tolerance 15
2.2 Overview of Wide-Range DLLs 23
2.2.1 DLL with Output Phase Selection 24
2.2.2 Multiperiod-Locked DLL 25
2.2.3 Infinite Phase Shift DLL 26
2.2.4 Summary 26
2.3 Overview of Adaptation Methods in Equalizers 27
2.3.1 Eye-Opening Monitor-Based Adaptation 28
2.3.2 Counter-Based Adaptation 29
2.3.3 Spectrum Comparison 30
2.3.4 Slope Detection 31
2.3.5 Sign-Sign LMS Algorithm 32
2.3.6 Summary 34
2.4 Overview of Jitter Tolerance Enhanced CDRs 35
2.4.1 Dual-Tracking Loop CDR 36
2.4.2 Jitter Tolerance Enhancer 37
2.4.3 Jitter Frequency Detection-Based Loop Gain Adaptation 38
2.4.4 Autocorrelation/Cross-Correlation-Based Loop Gain Adaptation 39
2.4.5 Summary 40
Chapter 3 A Wide-Range All-Digital for DDR1-DDR5 Applications 42
3.1 Design Concept 42
3.1.1 Background 42
3.1.2 Harmonic Locking Analysis 43
3.1.3 Harmonic Locking Detection and Autocalibration Technologies 44
3.2 Architecture and Circuit Description 47
3.2.1 Proposed Wide-Range All-Digital DLL 47
3.2.2 Time-to-Digital Converter 49
3.2.3 Phase Comparator 52
3.2.4 Controlling Circuit 53
3.2.5 Delay Circuit 56
3.3 Experimental Results 59
Chapter 4 A 2.6-22.6 dB Adaptive CTLE and One-Tap DFE With a Unified Adaptation System 66
4.1 Design Concept 66
4.1.1 The Limit of Sign-Sign LMS Algorithm 66
4.1.2 Proposed Adaptation Method 69
4.2 Architecture and Circuit Description 71
4.2.1 Proposed Adaptive Equalizer 71
4.2.2 Adaptation Process 72
4.2.3 Adaptation System 75
4.3 Experimental Results 78
Chapter 5 Area-Efficient Analog CDR With Jitter Frequency-Based Digital Loop Gain Adaptation 85
5.1 Design Concept 85
5.1.1 Tracking Bandwidth of CDR 85
5.1.2 Effect of Loop Gain on Phase Acquisition Behavior 90
5.1.3 Effect of Loop Gain Mismatch on Phase Acquisition Behavior 92
5.1.4 Area Issue of Bang-Bang CDR 94
5.2 Architecture and Circuit Description 96
5.2.1 Proposed CDR 96
5.2.2 System Analysis 97
5.2.3 BBPD and Adaptive Loop Gain Controller 101
5.2.4 Digital-to-Analog Converter and Charge Pump 104
5.2.5 Decimation Filter 106
5.2.6 Voltage-Controlled Oscillator 109
5.3 Experimental Results 111
Chapter 6 Conclusions and Future Works 116
6.1 Conclusions 116
6.2 Future Works 118
References 121
Publications List 128
參考文獻 [1]B. Casper and F. O’Mahony, “Clocking analysis, implementation and measurement techniques for high-speed data links–A tutorial,” IEEE, Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 1, pp. 17–39, Jan. 2009.
[2]C.-L. Hung, “On techniques of clock generator used in high-speed wireline transmission systems,” Ph.D. dissertation, Dept. Elect. Eng., National Central Univ., Taoyuan City, Taiwan, 2015.
[3]Y.-H. Tu, “Design and implementation of key technologies applied to high-speed serial links,” Ph.D. dissertation, Dept. Elect. Eng., National Central Univ., Taoyuan City, Taiwan, 2018.
[4]DisplayPort Standard Version 2.0, Video Electron. Standard Assoc. (VESA), San Jose, CA, USA, Jun. 2019.
[5]Double Data Rate (DDR) SDRAM Standard, JESD79F, JEDEC Solid State Technol. Assoc., Arlington, VA, USA, Feb. 2008.
[6]DDR2 SDRAM Standard, JESD79-2F, JEDEC Solid State Technol. Assoc., Arlington, VA, USA, Nov. 2009.
[7]Speciality DDR2-1066 SDRAM, JESD208, JEDEC Solid State Technol. Assoc., Arlington, VA, USA, Nov. 2007.
[8]DDR3 SDRAM Standard, JESD79-3F, JEDEC Solid State Technol. Assoc., Arlington, VA, USA, Jul. 2012.
[9]DDR4 SDRAM Standard, JESD79-4B, JEDEC Solid State Technol. Assoc., Arlington, VA, USA, Jun. 2017.
[10]DDR5 SDRAM Standard, JESD79-5, JEDEC Solid State Technol. Assoc., Arlington, VA, USA, Jul. 2020.
[11]PCI Express Base Specification Revision 6.0, Version 1.0, PCI-SIG, Beaverton, OR, USA, Dec. 2021.
[12]Universal Serial Bus 3.2 Specification, Revision 1.0, USB Implementers Forum, Inc., Beaverton, OR, USA, Sep. 2017.
[13]M. van Ierssel, A. Sheikholeslami, H. Tamura, and W. W. Walker, “A 3.2 Gb/s CDR using semi-blind oversampling to achieve high jitter tolerance,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2224–2234, Oct. 2007.
[14]M.-T. Hsieh and G. E. Sobelman, “Clock and data recovery with adaptive loop gain for spread spectrum SerDes applications,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2005. pp. 4883–4886.
[15]S. H. Hall, G. W. Hall, and J. A. McCall, High-Speed Digital System Design–A Handbook of Interconnect Theory and Design Practices, New York, NY, USA: Wiley, 2000.
[16]B. Razavi, Design of Integrated Circuits for Optical Communications, New York, NY USA: Wiley, 2012.
[17]IEEE Standard for Jitter and Phase Noise, IEEE Standard Std 2414-2020, Feb. 2021.
[18]Tektronix, Understanding and Characterizing Timing Jitter, May 2019.
[19]Maxim Integrated, AN HFAN-4.0.3, Jitter in Digital Communication Systems, Part 1, Revision 1, Apr. 2008.
[20]C. Sui, S. Bai, T. Zhu, C. Cheng, and D. G. Beetner, “New method to characterize deterministic jitter and crosstalk-induced jitter from measurements,” IEEE Trans. Electromagn. Compat., vol. 57, no. 4, pp. 877–884, Aug. 2015.
[21]M. Marcu, S. Durbha, and S. Gupta, “Duty-cycle distortion and specifications for jitter test-signal generation,” in Proc. IEEE Int. Symp. Electromagn. Compat., Aug. 2008, pp. 1–4.
[22]Keysight, Jitter Analysis: The Dual-Dirac Model, RJ/DJ, and Q-Scale, Dec. 2017.
[23]Agilent, Understanding Jitter and Wander Measurements and Standards, Feb. 2003.
[24]H.-H. Chang, J.-W. Lin, C.-Y. Yang, and S.-I. Liu, “A wide-range delay-locked loop with a fixed latency of one clock cycle,” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 1021–1027, Aug. 2002.
[25]C.-N. Chuang and S.-I. Liu, “A 0.5–5-GHz wide-range multiphase DLL with a calibrated charge pump,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 11, pp. 939–943, Nov. 2007.
[26]C.-C. Chen and S.-I. Liu, “An infinite phase shift delay-locked loop with voltage-controlled sawtooth delay line,” IEEE J. Solid-State Circuits, vol. 43, no. 11, pp. 2413–2421, Nov. 2008.
[27]S.-J. Bae, H.-J. Chi, Y.-S. Sohn, and H. J. Park, “A VCDL-based 60–760-MHz dual-loop DLL with infinite phase-shift capability and adaptive-bandwidth scheme,” IEEE J. Solid-State Circuits, vol. 40, no. 5, pp. 1119–1129, May 2005.
[28]H. Won et al., “A 28-Gb/s receiver with self-contained adaptive equalization and sampling point control using stochastic sigma-tracking eye-opening monitor,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 64, no. 3, pp. 664–674, Mar. 2017.
[29]W.-S. Kim, C.-K. Seong, and W.-Y. Choi, “A 5.4-Gbit/s adaptive continuous-time linear equalizer using asynchronous undersampling histograms,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, no. 9, pp. 553–557, Sep. 2012.
[30]Y. Choi et al., “A 0.99-pJ/b 15-Gb/s counter-based adaptive equalizer using single comparator in 28-nm CMOS,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 68, no. 10, pp. 3189–3193, Oct. 2021.
[31]J.-S. Choi, M.-S. Hwang, and D.-K. Jeong, “A 0.18-μm CMOS 3.5-Gb/s continuous-time adaptive cable equalizer using enhanced low-frequency gain control method,” IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 419–425, Mar. 2004.
[32]J. Lee, “A 20-Gb/s adaptive equalizer in 0.13-μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2058–2066, Sep. 2006.
[33]Y.-H. Kim, Y.-J. Kim, T. Lee, and L.-S. Kim, “A 21-Gbit/s 1.63-pJ/bit adaptive CTLE and one-tap DFE with single loop spectrum balancing method,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, no. 2, pp. 789–793, Feb. 2016.
[34]D. Lee, J. Han, G. Han, and S. M. Park, “An 8.5-Gb/s fully integrated CMOS optoelectronic receiver using slope-detection adaptive equalizer,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2861–2873, Dec. 2010.
[35]Y.-H. Tu, K.-H. Cheng, M.-J. Lee, and J.-C. Liu, “A power-saving adaptive equalizer with a digital-controlled self-slope detection,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 65, no. 7, pp. 2097–2108, Jul. 2018.
[36]V. Stojanovic et al., “Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 1012–1026, Apr. 2005.
[37]J. Lee, K. Lee, H. Kim, B. Kim, K. Park, and D.-K. Jeong, “A 0.1-pJ/b/dB 1.62-to-10.8-Gb/s video interface receiver with jointly adaptive CTLE and DFE using biased data-level reference,” IEEE J. Solid-State Circuits, vol. 55, no. 8, pp. 2186–2195, Aug. 2020.
[38]A. R. Al-Taee, F. Yuan, A. Gean, and S. Sadr, “New 2-D eye-opening monitor for Gb/s serial links,” IEEE. Trans. Very Large Scale Integr. (VLSI) Syst., vol. 22, no. 6, pp. 1209–1218, Jun. 2014.
[39]J.-Y. Song and O.-K. Kwon, “Clock- and data-recovery circuit with independently controlled eye-tracking loop for high-speed graphic DRAMs,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 58, no. 7, pp. 422–426, Jul. 2011.
[40]S. Hwang, J. Song, S.-G. Bae, Y. Lee, and C. Kim, “An add-on type real-time jitter tolerance enhancer for digital communication receivers,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, no. 3, pp. 1092–1103, Mar. 2016.
[41]H.-J. Jeon, R. Kulkarni, Y.-C. Lo, J. Kim, and J. Silva-Martinez, “A bang-bang clock and data recovery using mixed mode adaptive loop gain strategy,” IEEE J. Solid-State Circuits, vol. 48, no. 6, pp. 1398–1415, Jun. 2013.
[42]H.-R. Kim, J.-Y. Lee, J.-S. Lee, D.-S. Kang, and J.-H. Chun, “A 6.4–11 Gb/s wide-range referenceless single-loop CDR with adaptive JTOL,” IEEE Solid-State Circuits Lett., vol. 3, pp. 470–473, Sep. 2020.
[43]S.-W. Kwon et al., “An automatic loop gain control algorithm for bang-bang CDRs,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 12, pp. 2817–2828, Dec. 2015.
[44]J. Liang, A. Sheikholeslami, H. Tamura, Y. Ogata, and H. Yamaguchi, “Loop gain adaptation for optimum jitter tolerance in digital CDRs,” IEEE J. Solid-State Circuits, vol. 53, no. 9, pp. 2696–2708, Sep. 2018.
[45]J. Ardila, H. Morales, and E. Roa, “On the cross-correlation based loop gain adaptation for bang-bang CDRs,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 67, no. 4, pp. 1169–1180, Apr. 2020.
[46]T. Saeki, et al., “A 2.5-ns clock access, 250-MHz, 256-Mb SDRAM with synchronous mirror delay,” IEEE J. Solid-State Circuits, vol. 31, no. 11, pp. 1656–1668, Nov. 1996.
[47]K.-H. Cheng, K.-W. Hong, C.-H. Chen, and J.-C. Liu, “A high precision fast locking arbitrary duty cycle clock synchronization circuit,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 7, pp. 1218–1228, Jul. 2011.
[48]Y. J. Yoon et al., “Synchronous mirror delay for multiphase locking,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 150–156, Jan. 2004.
[49]A. Hatakeyama et al., “A 256-Mb SDRAM using a register-controlled digital DLL,” IEEE J. Solid-State Circuits, vol. 32, no. 11, pp. 1728–1734, Nov. 1997.
[50]M. Hossain et al., “A fast-lock, jitter filtering all-digital DLL based burst-mode memory interface,” IEEE J. Solid-State Circuits, vol. 49, no. 4, pp. 1048–1062, Apr. 2014.
[51]M.-H. Hsieh, L.-H. Chen, S.-I. Liu, and C. C.-P. Chen, “A 6.7 MHz to 1.24 GHz 0.0318 mm2 fast-locking all-digital DLL using phase-tracing delay unit in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 51, no. 2, pp. 412–427, Feb. 2016.
[52]M.-J. E. Lee et al., “Jitter transfer characteristics of delay-locked loops—Theories and design techniques,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp. 614–621, Apr. 2003.
[53]C.-Y. Yang, M.-S. Li, and A.-J. Chuang, “A wide-range folded-tuned dual-DLL-based clock-deskewing circuit for core-to-core links,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 29, no. 5, pp. 883–894, May 2021.
[54]H. Kang et al., “Process variation tolerant all-digital 90° phase shift DLL for DDR3 interface,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 10, pp. 2186–2196, Oct. 2012.
[55]K. Ryu, D.-H. Jung, and S.-O. Jung, “Process-variation-calibrated multiphase delay locked loop with a loop-embedded duty cycle corrector,” IEEE Trans. Circuits Syst. II, Express Briefs, vol. 61, no. 1, pp. 1–5, Jan. 2014.
[56]J.-H. Lim et al., “A delay locked loop with a feedback edge combiner of duty-cycle corrector with a 20%–80% input duty cycle for SDRAMs,” IEEE Trans. Circuits Syst. II, Express Briefs, vol. 63, no. 2, pp. 141–145, Feb. 2016.
[57]Y. Yoon, H. Park, and C. Kim, “A DLL-based quadrature clock generator with a 3-stage quad delay unit using the sub-range phase interpolator for low-jitter and high-phase accuracy DRAM applications,” IEEE Trans. Circuits Syst. II, Express Briefs, vol. 67, no. 11, pp. 2342–2346, Nov. 2020.
[58]H. Park et al., “A 1.3–4-GHz quadrature-phase digital DLL using sequential delay control and reconfigurable delay line,” IEEE J. Solid-State Circuits, vol. 56, no. 6, pp. 1886–1896, Jun. 2021.
[59]Y. Hidaka, W. Gai, T. Horie, J. H. Jiang, Y. Koyanagi, and H. Osone, “A 4-channel 1.25–10.3 Gb/s backplane transceiver macro with 35 dB equalizer and sign-based zero-forcing adaptive control,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3547–3559, Dec. 2009.
[60]J. Han, N. Sutardja, Y. Lu, and E. Alon, “Design technique for a 60-Gb/s 288-mW NRZ transceiver with adaptive equalization and baud-rate clock and data recovery in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 52, no. 12, pp. 3474–3485, Dec. 2017.
[61]Z.-H. Hong, Y.-C. Liu, and W.-Z. Chen, “A 3.12 pJ/bit, 19–27 Gbps receiver with 2-tap DFE embedded clock and data recovery,” IEEE J. Solid-State Circuits, vol. 50, no. 11, pp. 2625–2634, Nov. 2015.
[62]Y.-H. Kim, Y.-J. Kim, T.-H. Lee, and L.-S. Kim, “An 11.5 Gb/s 1/4th baud-rate CTLE and two-tap DFE with boosted high frequency gain in 110-nm CMOS,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 3, pp. 588–592, Mar. 2015.
[63]S. Shahramian, B. Dehlaghi, and A. C. Carusone, “Edge-based adaptation for a 1 IIR + 1 discrete-time tap DFE converging in 5 μs,” IEEE J. Solid-State Circuits, vol. 51, no. 12, pp. 3192–3203, Dec. 2016.
[64]K. Lee et al., “An adaptive offset cancellation scheme and shared-summer adaptive DFE for 0.068 pJ/b/dB 1.62-to-10 Gb/s low-power receiver in 40 nm CMOS,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 68, no. 2, pp. 622–626, Feb. 2021.
[65]M. H. Perrott et al., “A 2.5-Gb/s multi-rate 0.25-μm CMOS clock and data recovery circuit utilizing a hybrid analog/digital loop filter and all-digital referenceless frequency acquisition,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2930–2944, Dec. 2006.
[66]J. Lee, K. S. Kundert, and B. Razavi, “Analysis and modeling of bang-bang clock and data recovery circuits,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1571–1580, Sep. 2004.
[67]M. Verbeke, P. Rombouts, A. Vyncke, and G. Torfs, “Influence of jitter on limit cycles in bang-bang clock and data recovery circuits,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 6, pp. 1463–1471, Jun. 2015.
[68]Z. Shu et al., “A 5–13.5 Gb/s multistandard receiver with high jitter tolerance digital CDR in 40-nm CMOS process,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 67, no. 10, pp. 3378–3388, Oct. 2020.
[69]W. Yin, R. Inti, A. Elshazly, M. Talegaonkar, B. Young, and P. K. Hanumolu, “A TDC-less 7 mW 2.5 Gb/s digital CDR with linear loop dynamics and offset-free data recovery,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3163–3173, Dec. 2011.
[70]S.-I. Liu and C.-Y. Yang, Phase-Locked Loop, Taichung City, Taiwan: Tsanghai, 2008.
[71]R. C. Walker, “Designing bang-bang PLLs for clock and data recovery in serial data transmission systems,” in Phase-Locking in High-Performance Systems: From Devices to Architectures, B. Razavi, Ed., New York, NY, USA: Wiley, 2003, pp. 34–35.
[72]J. D. H. Alexander, “Clock recovery from random binary signals,” Electron. Lett., vol. 11, no. 22, pp. 541–542, Oct. 1975.
[73]F. N. U. Juanda, W. Shu, and J. S. Chang, “A 10-GS/s 4-bit single-core digital-to-analog converter for cognitive ultrawidebands,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 64, no. 1, pp. 16–20, Jan. 2017.
[74]J. G. Maneatis, “Low-jitter process-independent DLL and PLL based on self-biased techniques,” IEEE J. Solid-State Circuits, vol. 31, no. 11, pp. 1723–1732, Nov. 1996.
[75]K.-H. Cheng, Y.-C. Tsai, Y.-L. Lo, and J.-S. Huang, “A 0.5-V 0.4–2.24-GHz inductorless phase-locked loop in a system-on-chip,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 5, pp. 849–859, May 2011.
[76]J.-W. Moon, K.-C. Choi, and W.-Y. Choi, “A 0.4-V 90 ~ 350-MHz PLL with an active loop-filter charge pump,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, no. 5, pp. 319–323, May 2014.
[77]G. Shu et al., “A reference-less clock and data recovery circuit using phase-rotating phase-locked loop,” IEEE J. Solid-State Circuits, vol. 49, no. 4, pp. 1036–1047, Apr. 2014.
[78]T. Lee, Y.-H. Kim, and L.-S. Kim, “A 5-Gb/s digital clock and data recovery circuit with reduced DCO supply noise sensitivity utilizing coupling network,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 25, no. 1, pp. 380–384, Jan. 2017.
[79]C. Yu, E. Sa, S. Jin, H. Park, J. Shih, and J. Burm, “A 6.5–12.5-Gb/s half-rate single-loop all-digital referenceless CDR in 28-nm CMOS,” IEEE J. Solid-State Circuits, vol. 55, no. 10, pp. 2831–2841, Oct. 2020.
[80]S.-C. Chang and S.-I. Liu, “A 5-Gb/s adaptive digital CDR circuit with SSC capability and enhanced high-frequency jitter tolerance,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 68, no. 1, pp. 161–165, Jan. 2021.
[81]Y.-S. Yao, C.-C. Huang, and S.-I. Liu, “A jitter-tolerance-enhanced digital CDR circuit using background loop gain controller,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 68, no. 6, pp. 1837–1841, Jun. 2021.
[82]D.-H. Kwon, Y.-S. Park, and W.-Y. Choi, “A clock and data recovery circuit with programmable multi-level phase detector characteristics and a built-in jitter monitor,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 6, pp. 1472–1480, Jun. 2015.
[83]R. Nonis, W. Grollitsch, T. Santa, D. Cherniak, N. D. Dalt, “A 2.4psrms-jitter digital PLL with multi-output bang-bang phase detector and phase-interpolator-based fractional-N divider,” in ISSCC Dig. Tech. Papers, Feb. 2013, pp.356–357.
指導教授 鄭國興(Kuo-Hsing Cheng) 審核日期 2022-9-16
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明