博碩士論文 108521033 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:45 、訪客IP:18.226.96.61
姓名 杜宇平(Yu-Ping Tu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於具資料保留錯誤之動態隨機存取記憶體的良率及可靠度提升技術
(Yield and Reliability Enhancement Techniques for DRAMs with Data Retention Faults)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2028-2-1以後開放)
摘要(中) 隨著動態隨機存取記憶體(DRAM)密度的快速成長,良率和可靠度成為DRAM大量生產的關鍵挑戰。修復和錯誤更正碼(ECC)是兩種廣泛使用來提升DRAM良率和可靠度的技術。然而,現今的DRAM有越來越多的可變保留錯誤(VRF),這對修復和ECC的效果產生了嚴重影響。在此篇論文中,我們提出了內建自我修復(BISR)和基於ECC的錯誤區分技術來應對VRF造成的影響。我們基於ESP演算法提出了一種具單錯誤跳過(SF-skipping)的內建冗餘分析演算法(BIRA),以改善VRF造成的低效冗餘分配。模擬結果指出即使ESP演算法達到近乎理想的修復率,在晶圓級(wafer-level)和封裝後(post-package)有卜瓦松(Poisson distribution)均值1.0∼5.0和0.2∼1.0的缺陷植入到四個具有3~7個冗餘之8K×128記憶體的條件下,我們的方法仍有約0.02∼1.15%的修復率提升。使用TSMC 90nm製程的硬體實現結果顯示當記憶體容量為500Mb時,所提出的方法有0.22%的硬體開銷。我們也提出了一種基於ECC的錯誤區分方法用於提高可靠度。透過用合適的資源修復每種錯誤類型,錯誤累積的機率就會降低並提高可靠度。模擬結果指出在每秒錯誤率為1.2×10-11∼1.25×10-11之128K×16記憶體的條件下,我們的方法以約0.8∼2.5%的性能開銷使故障前平均時間(MTTF)達到560.9~991.6天。
摘要(英) As the rapid growth of the dynamic random access memory (DRAM) density, yield and reliability have become critical challenges for the mass production of DRAMs. Repair and error correction code (ECC) techniques are two widely used yield and reliability enhancement techniques for DRAMs. However, modern DRAMs have more and more variable retention faults (VRFs) which having a heavy impact on the efficiency of repair and ECC. In this thesis, we propose built-in self-repair (BISR) and ECC-based fault distinguishing techniques to cope with the impact caused by VRFs. A built-in redundancy analysis (BIRA) algorithm with single-fault skipping (SF-skipping) method based on essential spare pivoting (ESP) algorithm is proposed to improve the ineffective allocation caused by VRFs. Simulation results show that the proposed method has about 0.02∼1.15% increment of repair rate even if the ESP algorithm reaches nearly optimal repair rate, while defects with Poisson distribution mean value 1.0∼5.0 and 0.2∼1.0 for wafer-level and post-package repair are injected to four 8K×128 memory banks with 3∼7 spares. The BISR hardware implementation results using TSMC 90nm library show that the hardware overhead of the proposed method is 0.22% while the memory size is 500Mb. An ECC-based fault distinguish method is also proposed for in-field reliability enhancement. By repairing each fault type with appropriate resources, the probability of fault accumulation is reduced and the reliability can be improved. The simulation results show that the mean time to failure (MTTF) of the proposed method is 560.9∼991.6 days with the performance overhead about 0.8∼2.5%, while the average fault rate of a 128K×16 memory bank with 1∼10 spares is 1.2×10^(−11)∼1.25×10^(−11) per second.
關鍵字(中) ★ 動態隨機存取記憶體
★ 內建自我修復
★ 錯誤更正碼
★ 可變保留錯誤
關鍵字(英) ★ DRAM
★ BISR
★ ECC
★ VRF
論文目次 1 Introduction 1
1.1 Dynamic Random Access Memory and Faults 1
1.2 Built-In Self-Repair (BISR) 3
1.3 Error Correction Code (ECC) 5
1.4 Previous Work 5
1.5 Motivation 6
1.6 Contribution 7
1.7 Thesis Organization 7
2 Proposed Built-In Redundancy Analysis Algorithm 8
2.1 Issues of Variable Retention Fault 8
2.2 Single-Fault Skipping (SF-Skipping) Method 9
2.3 Design of BISR for DRAMs 11
2.3.1 BISR Architecture 11
2.3.2 DRAM and BIST Architecture 13
2.3.3 BIRA Architecture 15
3 ECC-based Fault Identification for Reliability Enhancement 22
3.1 Reliability Impact Caused by VRF 22
3.2 Proposed ECC-Based Fault Distinguishing Method 23
3.2.1 Flow of Fault Distinguishing 23
3.2.2 Fault Distinguishing and Repair Strategy 23
3.2.3 Distinguishing VRF and DRF 30
3.3 Reliability Evaluation 31
4 Simulation and Analysis Results 37
4.1 Repair Rate Simulation of BIRA 37
4.1.1 Repair Rate Simulator 37
4.1.2 Repair Rate Simulation 39
4.2 BISR Hardware Simulation 55
4.2.1 Hardware Implementation 55
4.2.2 Hardware Overhead Analysis 55
4.3 Reliability Analysis 56
5 Conclusion and Future Work 60
參考文獻 [1] V. Goiffon, T. Bilba, T. Deladerriere, G. Beaugendre, A. Le Roch, A. Dion, C. Virmontois, J.-M. Belloir, M. Gaillardin, A. Jay, and P. Paillet, “Radiation-induced variable retention time in dynamic random access memories,” IEEE Transactions on Nuclear Science, vol. 67, no. 1, pp. 234–244, 2020.
[2] G. H. Loh, “3D-stacked memory architectures for multi-core processors,” in Proc. Interna- tional Symposium on Computer Architecture (ISCA), 2008, pp. 453–464.
[3] C. Weis, I. Loi, L. Benini, and N. Wehn, “Exploration and optimization of 3-D integrated DRAM subsystems,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, no. 4, pp. 597–610, 2013.
[4] A. Avizienis, J.-C. Laprie, B. Randell, and C. Landwehr, “Basic concepts and taxonomy of dependable and secure computing,” IEEE Transactions on Dependable and Secure Comput- ing, vol. 1, no. 1, pp. 11–33, 2004.
[5] R. Baumann, “Soft errors in advanced computer systems,” IEEE Design & Test of Computers, vol. 22, no. 3, pp. 258–266, 2005.
[6] V. Sridharan and D. Liberty, “A study of DRAM failures in the field,” in Proc. International Conference on High Performance Computing, Networking, Storage and Analysis, 2012, pp. 1–11.
[7] Restle, Park, and Lloyd, “DRAM variable retention time,” in Proc. International Technical Digest on Electron Devices Meeting (IEDM), 1992, pp. 807–810.
[8] Y.-j. Huang, D.-m. Chang, and J.-f. Li, “A built-in redundancy-analysis scheme for self- repairable rams with two-level redundancy,” in Proc. IEEE International Symposium on De- fect and Fault Tolerance in VLSI Systems (DFT), 2006, pp. 362–370.
[9] K. Cho, Y.-W. Lee, S. Seo, and S. Kang, “An efficient BIRA utilizing characteristics of spare pivot faults,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 38, no. 3, pp. 551–561, 2019.
[10] M. Lv, H. Sun, Q. Ren, B. Yu, J. Xin, and N. Zheng, “Logic-DRAM co-design to exploit the efficient repair technique for stacked DRAM,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 62, no. 5, pp. 1362–1371, 2015.
[11] C.-T. Huang, C.-F. Wu, J.-F. Li, and C.-W. Wu, “Built-in redundancy analysis for memory yield improvement,” IEEE Transactions on Reliability, vol. 52, no. 4, pp. 386–399, 2003.
[12] W. Jeong, I. Kang, K. Jin, and S. Kang, “A fast built-in redundancy analysis for memories with optimal repair rate using a line-based search tree,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 12, pp. 1665–1678, 2009.
[13] J. Kim, W. Lee, K. Cho, and S. Kang, “Hardware-efficient built-in redundancy analysis for memory with various spares,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 3, pp. 844–856, 2017.
[14] S.-K. Lu, C.-L. Yang, Y.-C. Hsiao, and C.-W. Wu, “Efficient BISR techniques for embedded memories considering cluster faults,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 2, pp. 184–193, 2010.
[15] T.-W. Tseng, J.-F. Li, and C.-C. Hsu, “ReBISR: A reconfigurable built-in self-repair scheme for random access memories in SOCs,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 6, pp. 921–932, 2010.
[16] C.-S. Hou, Y.-X. Chen, J.-F. Li, C.-Y. Lo, D.-M. Kwai, and Y.-F. Chou, “A built-in self-repair scheme for DRAMs with spare rows, columns, and bits,” in Proc. IEEE International Test Conference (ITC), 2016, pp. 1–7.
[17] T. Li, Y. Han, X. Liang, H.-H. S. Lee, and L. Jiang, “Fault clustering technique for 3D memory BISR,” in Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, pp. 560–565.
[18] W. Kang, C. Lee, H. Lim, and S. Kang, “Optimized built-in self-repair for multiple memo- ries,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, no. 6, pp. 2174–2183, 2016.
[19] T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka, “A built-in self- repair analyzer (CRESTA) for embedded DRAMs,” in Proc. International Test Conference (ITC), 2000, pp. 567–574.
[20] W. Jeong, J. Lee, T. Han, K. Lee, and S. Kang, “An advanced BIRA for memories with an optimal repair rate and fast analysis speed by using a branch analyzer,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 12, pp. 2014–2026, 2010.
[21] M. Lv, H. Sun, J. Xin, and N. Zheng, “Efficient repair analysis algorithm exploration for memory with redundancy and in-memory ECC,” IEEE Transactions on Computers, vol. 70, no. 5, pp. 775–788, 2021.
[22] S. Kwon, Y. H. Son, and J. H. Ahn, “Understanding DDR4 in pursuit of in-DRAM ECC,” in Proc. International SoC Design Conference (ISOCC), 2014, pp. 276–277.
[23] M. Patel, J. S. Kim, H. Hassan, and O. Mutlu, “Understanding and modeling on-die error correction in modern DRAM: An experimental study using real devices,” in Proc. IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2019, pp. 13–25.
[24] H. Lee, Y. Yoo, S. H. Shin, and S. Kang, “ECMO: ECC architecture reusing content- addressable memories for obtaining high reliability in DRAM,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 30, no. 6, pp. 781–793, 2022.
[25] T.-H. Wu, P.-Y. Chen, M. Lee, B.-Y. Lin, C.-W. Wu, C.-H. Tien, H.-C. Lin, H. Chen, C.-N. Peng, and M.-J. Wang, “A memory yield improvement scheme combining built-in self-repair and error correction codes,” in Proc. IEEE International Test Conference (ITC), 2012, pp. 1–9.
[26] D. Han, H. Lee, S. Lee, and S. Kang, “ECC-aware fast and reliable pattern matching re- dundancy analysis for highly reliable memory,” IEEE Access, vol. 9, pp. 133 274–133 288, 2021.
[27] C.-L. Su, Y.-T. Yeh, and C.-W. Wu, “An integrated ECC and redundancy repair scheme for memory reliability enhancement,” in Proc. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT), 2005, pp. 81–89.
[28] S.-K. Lu, C.-J. Tsai, and M. Hashizume, “Integration of hard repair techniques with ECC for enhancing fabrication yield and reliability of embedded memories,” in Proc. IEEE Asian Test Symposium (ATS), 2015, pp. 49–54.
[29] G. Mayuga, Y. Yamato, T. Yoneda, M. Inoue, and Y. Sato, “An ECC-based memory architec- ture with online self-repair capabilities for reliability enhancement,” in Proc. IEEE European Test Symposium (ETS), 2015, pp. 1–6.
[30] M. K. Qureshi, D.-H. Kim, S. Khan, P. J. Nair, and O. Mutlu, “AVATAR: A variable-retention- time (VRT) aware refresh for DRAM systems,” in Proc. IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2015, pp. 427–437.
[31] H. Kwon, K. Kim, D. Jeon, and K.-S. Chung, “Reducing refresh overhead with in-DRAM error correction codes,” in Proc. International SoC Design Conference (ISOCC), 2021, pp. 211–214.
[32] Y.-F. Chou, D.-M. Kwai, M.-D. Shieh, and C.-W. Wu, “Reactivation of spares for off-chip memory repair after die stacking in a 3-D IC with TSVs,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 60, no. 9, pp. 2343–2351, 2013.
[33] C. Lee, W. Kang, D. Cho, and S. Kang, “A new fuse architecture and a new post-share redundancy scheme for yield enhancement in 3-D-stacked memories,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 5, pp. 786–797, 2014.
[34] C.-C. Yang, J.-F. Li, Y.-C. Yu, K.-T. Wu, C.-Y. Lo, C.-H. Chen, J.-S. Lai, D.-M. Kwai, and Y.-F. Chou, “A hybrid built-in self-test scheme for DRAMs,” in Proc. VLSI Design, Automation and Test (VLSI-DAT), 2015, pp. 1–4.
[35] Y. Liu, P. Ren, D. Wang, L. Zhou, Z. Ji, J. Liu, R. Wang, and R. Huang, “New insight into the aging induced retention time degraded of advanced DRAM technology,” in Proc. IEEE International Reliability Physics Symposium (IRPS), 2022, pp. 6B.2–1–6B.2–6.
[36] R.-F. Huang, J.-C. Yeh, J.-F. Li, and C.-W. Wu, “Raisin: Redundancy analysis algorithm simulation,” IEEE Design & Test of Computers, vol. 24, no. 4, pp. 386–396, 2007.
指導教授 李進福(Jin-Fu Li) 審核日期 2023-2-2
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明