博碩士論文 89521002 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:52 、訪客IP:18.188.195.193
姓名 喻柏莘(Bo-Shen Yu)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 適用於晶片間通訊之高速傳輸介面
(High Speed Serial Link for Inter-Chip Communication)
相關論文
★ 匯流排上的時間延遲及交談失真的偵錯設計技巧★ 適用於自動測試機台的時間產生器
★ 混波測試匯流排的量測學★ 高速連結之時序與資料回復
★ 基於IEEE 1057之類比數位轉換器量測技術★ 應用於高畫質電視之載波回復電路架構
★ 單晶片測試機之前端驅動電路設計★ 系統晶片類比數位轉換器測試之數位信號處理程式庫
★ A 2.5V,0.35um,2.5Gbps 傳送接收器設計★ 內建式類比數位/數位類比轉換器線性度之自我測試
★ 高準確度及低成本之電壓量測技術★ 應用於ATSC VSB時脈回復之全數位延遲線迴路
★ 內建式類比數位轉換器之自我校正方法★ 多模組之相位同步技術
★ 使用低增益寬頻率調整範圍壓控震盪器 之1.25-GHz八相位鎖相迴路★ 高速傳輸連結網路的分析和模擬
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 由於製程技術的進步,CMOS積體電路的操作頻率及電路複雜度也隨著增加。使得晶片內部的邏輯閘及連結外部的輸入/輸出介面間的頻寬差距到達嚴重的比例。因此,連接晶片間的傳輸通道時常限制了系統的效能,這些系統包括網路的切換器、路由器、處理器和記憶體間的介面及多處理器的傳輸通道。
在此論文中,我們有兩個研究主題。首先,我們將簡單的說明及討論通道建模、介面電路的雜訊來源、二元及調變訊號的比較及低電壓差動訊號標準。依據這些知識,我們提出一個符合低電壓差動訊號標準的2.5 Gbps收發器。接著,我們也將提出一個新的脈波邊緣位置調變技術及使用此技術的收發器,相較於一般常用的脈波振幅調變技術,脈波邊緣位置調變技術使用在一個傳輸符碼內的脈波邊緣位置來代表數位資訊。使用此技術的收發器將可工作在5 Gbps的位元傳輸率,實現此收發器的電路技術及設計概念也將再論文中說明。
論文中,我們將實現一個符合低電壓差動訊號標準2.5 Gbps的傳送器。此傳送器是使用0.25μm的製程製作且在2.5V的供應電壓下可以操作在2.5 Gbps,另外晶片面積則為1.348*0.986mm2。使此設計能工作在2.5 Gbps的計技術包括使用點對點的傳輸、在傳送器將數位資料串列傳輸而在接受器則將串列資料使用時脈資料恢復電路轉換回並列的數位資料。
摘要(英) Due to process technologies scale-down, the operating frequency and circuit complexity of CMOS VLSI increase. The growing gap between on-chip gates and off-chip I/O bandwidth is reaching the critical proportions. Therefore, the interconnects between chips often limit the performance of a system in application such as network switches, routers, processor-memory interfaces, and multi-processor interconnection. For this reason, to integrate high speed serial links on chips can reduce the pin/wire count, and power budget of a system significantly.
There are two major topics in this thesis. First, we will focus on the study of channel modeling, signalling noise sources, binary versus modulation signalling, and low voltage differential signalling (LVDS) standard. Base on these considerations, we will propose the 2.5 Gbps transceiver that conforms to the LVDS specifications. Second, we will propose a transceiver architecture that uses proposed edge-position modulation (EPM), In contrast with pulse-amplitude modulation (PAM), EPM uses the pulse edge transition site in the transmitted symbol to denote digital codes. This transceiver for the physical layer of a serial link will have a data bandwidth of 5 Gbps. The circuit design and operational concept for the transmitter and receiver will be described.
In this thesis, a 2.5 Gbps transmitter has been implemented. It is compatible with the low voltage differential signalling (LVDS) standard. In a TSMC 0.25-μm CMOS technology, the transmitter circuit operates at 2.5 Gbps on a 2.5V power supply and occupies an area of 1.348*0.986mm2 . The technique to achieve 2.5 Gbps data rate is using point-to-point topology with serialization of data bits in transmitter and deserialization with tracking phase clock/data recovery techniques in receiver.
關鍵字(中) ★ 鎖相迴路
★ 低電壓差動訊號
★ 高速傳輸介面
關鍵字(英) ★ LVDS
★ phase locked loop
★ high speed serial link
論文目次 Abstract i
Table of Contents ii
List of Tables iv
List of Figures v
Chapter 1 Introduction 1
1.1 Motivation 1
1.2 Basic Link Overview 2
1.3 Thesis Organization 4
Chapter 2 Background Study 6
2.1 Channel Analysis 7
2.2.1 Packages Parasitics 8
2.2.2 PADs 11
2.2.3 Transmission Lines (PCB Trace) 12
2.2 Signalling Noise Sources 18
2.3 Low-Voltage Differential Signalling (LVDS) 20
2.4 NRZ binary versus Modulation Signalling 22
Chapter 3 2.5 Gbps LVDS Transceiver 28
3.1 System Architecture 28
3.2 LVDS Transmitter design 31
3.3.1 LVDS Driver 32
3.3.2 8-to-1 Data Serializer 35
3.3.3 Pre-driver Design 36
3.3 Multi-Phase Phase Locked Loop Design 37
3.3.1 PLL system response 38
3.3.2 PLL Circuit Design 40
3.4 Transmitter Simulation Results 47
Chapter 4 5 Gbps EPM transceiver 51
4.1 System Architecture 52
4.2 Transmitter Design 53
4.3 Receiver Design 61
Chapter 5 Experiment Results 62
5.1 Measurement Results 64
Chapter 6 Conclusion 67
Bibliography 68
參考文獻 [1] J. Poulton, et al., “A Tracking Clock Recovery Receiver for 4 Gb/s Signaling,” IEEE Micro, 1998.
[2] B. Razavi, “Design of Analog CMOS Integrated Circuits,” McGraw-Hill Companies, Inc., 2001.
[3] S.H. Hall, G.W. Hall, et al. , “High-Speed Digital System Design—A handbook of interconnect theory and design practices,” Wiley-Interscience Publication, 2000.
[4] H. Johnson, M. Graham, “High-Speed Digital Design --- A handbook of black magic,” Prentice-Hall, Inc. 1993.
[5] C.K. Ken Yang ,“Design of High-Speed Serial Links in CMOS,” Ph.D. Dissertation, Stanford University, 1998.
[6] J. Poulton, et al., “Signaling in high-performance memory systems,” International Solid-State Circuits Conference, tutorial., 1999.
[7] Star-HSPICE User’s Manual , Avant! Corporation, 2001.
[8] H.B. Bakoglu, “Circuits, Interconnections and Packaging for VLSI,” Addison-Wesley Publishing Company, Inc. 1990.
[9] W.J. Dally and J. Poulton , “Transmitter equalization for 4Gb/s signaling,” IEEE Micro, 1997.
[10] R. Farjad-Rad, C. K. K. Yang, M. A. Horowitz, and T. H. Lee, “A 0.4 um CMOS 10-Gb/s 4-PAM pre-emphasis serial link transmitter,” IEEE J. Solid-State Circuits,May 1999.
[11] A. Fiedler, et al., “A 1.0625 Gbps transceiver with 2X Oversampling and transmit pre-emphasis,” IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp. 238-239, Feb 1997.
[12] J.M. Khoury and K.R. Lakshmikumar., “High-Speed Serial Transceivers for Data Communication Systems,” IEEE Communications Magazine, July 2001
[13] M.E. Lee, “An Efficient I/O and Clock Recovery Design For Terabit Integrated Circuits,” Ph.D. Dissertation, Stanford University, August 2001.
[14] K.Y. Chang, “Design of A CMOS Asymmetric Serial Link,” Ph.D. Dissertation, Stanford University, August 1999.
[15] W.J. Dally and J.W. Poulton, “Digital Systems Engineering,” Cambridge University Press, 1998.
[16] M.A. Horowitz, et al., “PLL Design for a 500MB/s Interface,” IEEE International Solid-State Circuits Conference, 1993
[17] J.G. Maneatis, et al., “Low-jitter Process-Independent DLL and PLL Based on Self-Biased Techniques,” IEEE Journal of Solid-State Circuits, V.31, no. 11, pp. 1723-1732, Nov 1996.
[18] I.A. Young, et al., “A PLL Clock Generator with 5 to 110 MHz Lock Range for Microprocessors,” IEEE Journal of Solid-State Circuits, V. 27, no. 11, Nov 1992.
[19] “Electrical Characteristics of Low Voltage Differential Signaling (LVDS) Interface Circuits,” ANSI/TIA/EIA-644-1995, Telecommunications Industry Association, Nov. 15, 1995.
[20] “IEEE Standard for Low-Voltage Differential Signals (LVDS) for Scalable Coherent Interface (SCI),” IEEE Std 1596.3-1996, IEEE Computer Society, July 31, 1996.
[21] B. Young, “Enhanced LVDS for Signaling on the RapidIOTM Interconnect Architecture,” Somerset Design Center, Motorola, IEEE 2000.
[22] A. Boni, A. Pierazzi, and D. Vecchi, “LVDS I/O Interface for Gb/s-per-Pin Operation in 0.35-μm CMOS,” IEEE Journal of Solid-State Circuits, vol. 36, NO. 4, April 2001.
[23] T. Gabara, et al., “LVDS I/O buffers with a controlled reference circuit,” IEEE. 1997.
[24] M.E. Lee, et al., “Low-Power Area-Efficient High-Speed I/O Circuit Techniques,” IEEE J. Solid-State circuits, vol. 35. 11, Nov 2000.
[25] C. K. K. Yang, R. Farjad-Rad, and M. Horowitz, “A 0.5 μm CMOS 4Gbps transceiver with data recovery using oversampling,” IEEE J. Solid-State circuits, vol. 33, pp. 713-722, May, 1998.
[26] “LVDS Owner’s Manual --- 2nd Editioin ,” National Semiconductor, Spring 2000.
[27] J.G. Maneatis and M.A. Horowiz, “Precise Delay Generation Using Coupled Oscillators,” IEEE JOURNAL OF Solid-State circuits , 1993
[28] R.E. Best, “ Phase - Locked Loops : Theory, Design and Applications, ” McGraw-Hill Inc., 2nd ed., 1993.
[29] M. Fukaishi, “GHz serial link transceiver using multiple - valued data representation, ” NEC Corporation, Kanagawa, Japan.
[30] J.B. Anderson, “Digital Transmission Engineering,” IEEE Press, PRENTICE Hall, 1998.
[31] K. Nogam and A. E. Gamal, “A CMOS 160-Mb/s phase modulation I/O interface circuit,” in ISSCC Dig. Tech. Papers, Feb. 1994, pp. 108-109.
[32] T. Yamauchi, Y. Morooka, and H. Ozaki, “A low-power and high-speed data transfer scheme with asynchronous compressed pulsewidth modulation for AS-memory,” IEEE J. Solid-State Circuits, vol. 31, pp. 523-530, Apr. 1996.
[33] W.H. Chen, G.K. Dehng, J.W. Chen, and S.I. Liu, “A CMOS 400-Mb/s Serial Link for AS-Memory Systems Using a PWM Scheme,” IEEE J. Solid-State Circuits, vol. 36, No. 10, Oct 2001.
[34] C.K. Ken Yan, M.A. Horowitz, “A 0.8-/spl mu/m CMOS 2.5 Gb/s oversampling receiver and transmitter for serial links,” IEEE J. Solid-State Circuits, vo. 31, pp. 2015-2013, 1996
[35] J.M., H.C. Lin, A.L. Yee, Izzard, et al., “A 0.5-3.5 Gb/s low-power low-jitter serial data CMOS transceiver,” in ISSCC Dig. Tech. Papers 43, pp. 352-353, 1999.
[36] S. Dabral, T. Maloney, “Basic ESD AND I/O Design,” Wiley Interscience Publication, 1998.
[37] J. Savoj, B. Razavi, “High-Speed CMOS Circuits for Optical Receivers,” Kluwer Academic Publishers, 2001.
[38] B.I. Gribstad, “Architecture and Implementation of a 160 mW, 1 Gigabit/sec CMOS serial Link,” Masters Report, University of California at Berkeley, October 1998.
[39] J., Golbus, “Circuit Design for IRAM High Speed I/O,” Masters Report, niversity of California at Berkeley, October 1998.
[40] S. Sidiropoulos,“High Performance Inter-Chip Signalling,” Ph.D. Dissertation, Stanford University, April 1998.
[41] R. Farjad-Rad, “A CMOS 4-PAM Multi-Gbps Serial Link Transceiver,” Ph.D. Dissertation, Stanford University, August 2000.
[42] G.Y. Wei, “Energy-Efficient I/O Interface Design With Adaptive Power-Supply Regulation,” Ph.D. Dissertation, Stanford University, June 2001.
[43] E. Fai-Yee Yeung, “Design of High-Performance and Low-Cost Parallel Links,” Ph.D. Dissertation, Stanford University, January 2002.
[44] B. Ahmad and J. Cain, “Performance Evaluation of High Speed Serial Link,” Wireless and Optical Broadband Conference, 2001.
[45] M. Horowitz, et. al., “High-Speed Electrical Signalling: Overview and Limitations,” IEEE Micro, vol. 18. 1, Jan.-Feb., 1998, pp. 12-24.
[46] M. M. Mcchaik, “An Evaluation of Single-Ended and Differential Impedance in PCBs,” IEEE, 2001.
[47] S. Sidiropoulos, D. Liu, et. al., “Adaptive Bandwidth DLLs and PLLs using Regulated Supply CMOS Buffers,” Symposium on VLSI Circuits Digest of Technical Papers, 2000.
指導教授 蘇朝琴(Chau-Chin Su) 審核日期 2002-7-8
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明