參考文獻 |
[1] DisplayPort (DP) Standard, Version 2.0, VESA, 2019
[2] High-Definition Multimedia Interface Specification, Version 2.0, HDMI, 2013
[3] PCI Express®Base Specification, Revision 2.1, PCI-SIG, 2010.
[4] Serial ATA International Organization, Serial ATA Revision 3.0, SATA-IO, 2009.
[5] Universal Serial Bus Specification, Revision 3.1, USB-IO, 2013
[6] 孫世洋 , “以符碼間干擾技術實現自適應等化器之 5 Gbps 半速率時脈與資料回復
電路 碩士 論文 , 國立中央大學 , 2016.
[7] B. Razavi, “Design of Integrated Circuits for Optical Communications ” McGraw-Hill,2003.
[8] A. X. Widmer, and P. A. Franaszek ”A DC-balanced, partitioned-block, 8b/10b ” IBM J. Res and Develop., vol. 27, pp. 440–451, Sep. 1983.
[9] Tektronix, “數 位示波器的應用抖動 (jitter)測量 ”.
[10] Maxim, “Choosing AC-Coupling Capacitors,” Application Note: HFAN-1.1, 2000.
[11] N. Radhakrishnan, B. Achkir, J. Fan and J. L. Drewniak, “Stressed jitter analysis for physical link characterization,” in Proc. IEEE International Symposium on Electromagnetic Compatibility, Feb. 2010, pp. 568-572.
[12] Agilent Technologies, “Finding sources of jitter with real-time jitter analysis,” 2008.
[13] B. Razavi, Design of Analog CMOS Integrated Circuits, 2nd ed. New York, NY, USA:McGraw-Hill, 2017.
[14] R. Sarpeshkar, T. Delbruck and C. A. Mead, “White noise in MOS transistors and resistors,”IEEE Circuits and Devices Magazine, vol. 9, no. 6, pp. 23-29, Nov. 1993.
[15] Tektronix, “Understanding and characterizing timing jitter”.
[16] Altera Corporation, “Deterministic Jitter (DJ) Definition and Measurement,” 2009.
[17] SHF Communication Technologies AG, “Application note AN-jitter-1-jitter analysisusing SHF 10000 series bit error rate testers,” 2005.
[18] Maxim, “Optical receiver performance evaluation”.
[19] 劉深淵 , 楊清淵 , 鎖相迴路 , 滄海書局 , 2006.
[20] R. C. Walker and B. Razavi, “Designing bang-bang PLLs for clock and data recovery inserial data transmission systems,” Proc. High-Performance Systems, Feb. 2003, pp.34-45.
[21] J. Lee, K. S. Kundert and B. Razavi, “Analysis and modeling of bang-bang clock and datarecovery circuits,” IEEE Journal of Solid-State Circuits, vol. 39, no. 9, pp. 1571-1580,Sept. 2004
[22] T. Lee, Y. -H. Kim and L. -S. Kim, “A 5-Gb/s digital clock and data recovery circuit withreduced DCO supply noise sensitivity utilizing coupling network,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 1, pp. 380-384, Jan. 2017.
[23] X. Ge, Y. Chen, X. Zhao, P. -I. Mak and R. P. Martins, “Analysis and verification of jitterin bang-bang clock and data recovery circuit with a second-order loop filter,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 10, pp. 2223- 2236, Oct. 2019.
[24] T. H. Lee and J. F. Bulzacchelli, “A 155 MHz clock recovery delay- and phase-locked loop,” IEEE International Solid-State Circuits Conference Digest of Technical Papers,Dec. 1992, pp. 160-161.
[25] J. Lin, C. Yang and H. Wu, “A 2.5-Gb/s DLL-based burst-mode clock and data recovery circuit with 4 × oversampling,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 4, pp. 791-795, April 2015
[26] W. Bae, G. Jeong, K. Park, S. Cho, Y. Kim and D. Jeong, “A 0.36 pJ/bit, 0.025 mm2, 12.5 Gb/s forwarded-clock receiver with a stuck-free delay-locked loop and a half-bit delay line in 65-nm CMOS technology,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 63, no. 9, pp. 1393-1403, Sept. 2016.
[27] Y. -S. Lin, M. -S. Li and C. -Y. Yang, “A 2.7-Gb/s clock and data recovery circuit based on D/PLL,” IEEE International System-on-Chip Conference, Sept. 2019, pp. 284-288.
[28] X. Maillard, F. Devisch, and M. Kuijk, “A 900-Mb/s CMOS data recovery DLL using half-frequency clock,” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp.711–715, Jun.2002.
[29] J. Terada, K. Nishimura, S. Kimura, H. Katsurai, N. Yoshimoto and Y. Ohtomo, “A 10.3 Gb/s Burst-Mode CDR Using a ΔΣ DAC,” IEEE Journal of Solid-State Circuits, vol. 43, no. 12, pp. 2921-2928, Dec. 2008.
[30] K. Kishine et al., “A Multi-Rate Burst-Mode CDR Using a GVCO With Symmetric Loops for Instantaneous Phase Locking in 65-nm CMOS,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 62, no. 5, pp. 1288-1295, May 2015.
[31] J. Kim, and D.-K. Jeong, “Multi-gigabit-rate clock and data recovery based on blindoversampling,” IEEE Commun. Mag., vol. 41, pp. 68–74, Dec. 2003.
[32] G. Wu et al., “A 1–16 Gb/s all-digital clock and data recovery with a wideband highlinearity phase interpolator,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, no. 7, pp. 2511-2520, July 2016.
[33] Y. Xia et al., "A 10-GHz Low-Power Serial Digital Majority Voter Based on Moving Accumulative Sign Filter in a PS-/PI-Based CDR," IEEE Transactions on Microwave Theory and Techniques, vol. 68, no. 12, pp. 5432-5442, Dec. 2020
[34] S. -C. Chang and S. -I. Liu, "A 5-Gb/s Adaptive Digital CDR Circuit With SSC Capability and Enhanced High-Frequency Jitter Tolerance," IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 68, no. 1, pp. 161-165, Jan. 2021
[35] S. Hu, C. Jia, K. Huang, C. Zhang, X. Zheng and Z. Wang, "A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOS," 2012 IEEE International Symposium on Circuits and Systems (ISCAS), Seoul, Korea (South), 2012, pp. 309-312
[36] Xueyi Yu, Jian Qiao, Woogeun Rhee, Joon-Young Park, Kyongsu Lee and Zhihua Wang, "A semi-digital cascaded CDR with fast phase acquisition and adaptive resolution control," Proceedings of 2010 International Symposium on VLSI Design, Automation and Test, Hsin Chu, 2010, pp. 307-310
[37] B. Liu, Z. Wang, T. Zhang, L. Zhang, S. Yang and L. Yang, "A 12.5Gbps PI-based Quarter-Rate Clock and Data Recovery Circuit with an Adaptive filter of JESD204B Standard," 2021 6th International Conference on Integrated Circuits and Microsystems (ICICM), Nanjing, China, 2021, pp. 5-13
[38] S. Sen, U. Upadhyaya, K. R. Kondreddy, A. Goyal, S. Goyal and S. Gupta, "A Low Jitter Digital Loop CDR Based 8–16 Gbps SerDes in 65 nm CMOS Technology," 2021 34th International Conference on VLSI Design and 2021 20th International Conference on Embedded Systems (VLSID), Guwahati, India, 2021, pp. 216-221
[39] H. -J. Jeon, R. Kulkarni, Y. -C. Lo, J. Kim and J. Silva-Martinez, "A Bang-Bang Clock and Data Recovery Using Mixed Mode Adaptive Loop Gain Strategy," IEEE Journal of Solid-State Circuits, vol. 48, no. 6, pp. 1398-1415, June 2013
[40] Y. Miki, T. Saito, and H. Yamashita, et al. “A 50-mW/ch 2.5-Gb/s/ch data recovery circuit for the SFI-5 interface with digital eye-tracking,” IEEE J. of Solid-State Circuits, vol. 39, no. 4, pp. 613-621, Apr. 2004.
[41] 陳炳宏 , “應用於 SATA-III之 6 Gbps半速率時脈與資料回復電路 ,” 碩士論文 , 國立
中央大學 , 2009.
[42] 鄭柏旻 , “具電容放大技術和自適應迴路增益控制器之 5 Gbps雙路徑時脈與資料
回復電路 ,”碩士論文 , 國立中央大學 , 2017.
[43] S. Sidiropoulos and M. A. Horowitz, “A semidigital dual delay-locked loop,” IEEE J. of Solid-State Circuits, vol. 32, no. 11, pp. 1683-1692, Nov. 1997.
[44] 鄭宇亨 , “具資料獨立相位追蹤補償技術之 10 Gbps 半速率時脈與資料回復電路
,”碩士論文 , 國立中央大學 , 2018.
[45] S. Lee, R. Harjani and T. Oh, "Pseudo-Reference Counter-Based FLL for 6 Gb/sReference-Less CDR in 65-nm CMOS," IEEE Transactions on Circuits andSystems II: Express Briefs, vol. 69, no. 4, pp. 2096-2100, April 2022.
[46] W. Kim, W. Hong, J. J. Kim and M. Lee, "A 5.4-Gb/s, 0.57-pJ/bit, Single-LoopReferenceless CDR With an Unlimited Bilateral Frequency Detection Scheme," IEEE Transactions n Very Large Scale Integration (VLSI) Systems, vol. 31, no. 6,pp. 851-860, June 2023.
[47] W. Xiao, Q. Huang, H. Mosalam, C. Zhan, Z. Li and Q. Pan, "A 6.15–10.9 Gb/s 0.58 pJ/Bit Reference-Less Half-Rate Clock and Data Recovery With “Phase Reset” Scheme," IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 69, no. 2, pp. 634-644, Feb. 2022
[48] C. Yu, E. Sa, S. Jin, H. Park, J. Shin and J. Burm, "A 6.5–12.5-Gb/s Half-Rate Single-Loop All-Digital Referenceless CDR in 28-nm CMOS," IEEE Journal of Solid-State Circuits, vol. 55, no. 10, pp. 2831-2841, Oct. 2020
[49] H. Seo et al., "A 12-Gb/s Baud-Rate Clock and Data Recovery With 75% Phase-Detection Probability by Precoding and Integration-Hold-Reset Frontend," IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 70, no. 2, pp. 411-415, Feb. 2023 |