博碩士論文 111521017 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:92 、訪客IP:18.116.40.53
姓名 陳政維(Zheng-Wei Chen)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 多核心系統的老化與瞬態錯誤感知任務部署策略:壽命延長且節能的框架
(Aging and Transient Error Aware Task Deployment Strategy for Multicore Systems: A Lifetime-Extened and Energy-Efficient Framework)
相關論文
★ 晶圓圖之網格及稀疏缺陷樣態辨識★ 晶圓圖提取特徵參數錯誤樣態分析
★ 使用聚類過濾策略和 CNN 計算識別晶圓圖瑕疵樣態★ 新建晶圓圖相似性門檻以強化相似程度辨別能力
★ 一種可動態重新配置的4:2近似壓縮器用於補償老化★ 一個可靠的靜態隨機存取記憶體內運算結構: 設計指南與耐老化策略研究
★ 一個高效的老化偵測器部屬策略: 基於生成對抗網路的設計方法★ 考慮電壓衰退和繞線影響以優化電路時序之電源供應網絡精煉策略
★ 適用於提高自旋轉移力矩式磁阻隨機存取記憶體矩陣可靠度之老化偵測與緩解架構設計★ 8T 靜態隨機存取記憶體之內積運算引擎的老化威脅緩解策略: 從架構及運算角度來提出解決的方法
★ 用於響應穩定性的老化感知平行掃描鏈PUF設計★ 8T靜態隨機存取記憶體運算的老化檢測和容忍機制:適用於邏輯和 MAC 運算的應用
★ 使用擺置後的設計特徵及極限梯度提升演算法預測繞線後的繞線需求★ 基於強化學習的晶片佈局規劃的卷積神經網路與圖神經網路融合架構
★ 用於佈線後階段電壓降優化的強化學習框架★ 基於圖神經網絡(GNN)的內部節點控制(INC)和輸入向量控制(IVC)協同優化用於老化緩解
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 (2029-6-17以後開放)
摘要(中) 異構多核系統(Heterogeneous multicore system)是現代計算系統中的重要
架構之一,由不同類型的核心組成,這些核心在性能、架構、功耗和功能上存
在差異。例如,這種系統可能包括高性能的核心,適合處理需要大量計算的任
務,以及低功耗的核心,適合處理較輕的任務或保持系統待機狀態。這種異質
性的設計帶來了資源管理的靈活性和任務調度的優勢,有助於提高系統的整體
性能和效率。然而,這也使得任務分配和管理變得更加複雜,需要精細的調度
策略和優化技術來最大化這些不同類型核心的優勢。
該研究專注於在異構多核系統中進行任務複製和映射技術的優化,以在提
升系統的容錯能力和能源效率之間達到平衡。研究首先確定最佳的任務複製數
量和運行頻率,然後根據不同類型核心的特性和系統當前的狀態,靈活地將任
務複製映射到合適的核心上,並進行核心電壓和頻率的調整。這種精細的優化
策略有助於在滿足任務需求的同時,最大化系統的性能和壽命,並盡量減少能
耗。
實驗結果顯示,該方法顯著延長了系統的壽命,減少了功率,並且能夠與
現有的方法無縫整合。該研究還探索了使用不對稱策略進一步提升系統壽命和
能源效率的可能性,這顯示了該方法在異構多核系統中的廣泛應用前景以及潛
在的突破性影響。研究的成果有助於推動異構多核系統在各種計算環境中的應
用,例如在雲計算、物聯網、人工智能等領域,為未來的高性能計算系統提供
了新的思路和方法。
摘要(英) Heterogeneous multicore systems are a critical architecture in modern computing
systems, comprising different types of processor cores that vary in performance,
architecture, power consumption, and functionality. For instance, these systems may
include high-performance cores suited for handling computation-intensive tasks and
low-power cores ideal for lighter tasks or maintaining system standby. This
heterogeneity offers flexibility in resource management and task scheduling, aiding in
enhancing overall system performance and efficiency. However, it also complicates
task allocation and management, necessitating precise scheduling strategies and
optimization techniques to maximize the advantages of these different types of cores.
This study focuses on optimizing task replication and mapping techniques in
heterogeneous multicore systems to strike a balance between enhancing system fault
tolerance and energy efficiency. Initially, the study determines the proper number of
task replications and operating frequencies. Then, it flexibly maps the task replications
to suitable cores based on the characteristics of the different core types and the current
system state, adjusting core voltages and frequencies accordingly. This fine-grained
optimization approach helps to meet task demands while maximizing system
performance and lifespan and minimizing energy consumption.
Experimental results demonstrate that this method significantly extends system
lifespan and reduces energy consumption, seamlessly integrating with existing methods.
The study also demonstrates the broad applicability and potential breakthroughs of this
approach in heterogeneous multicore systems. The results contribute to advancing the
application of heterogeneous multicore systems in various computing environments
iii
such as cloud computing, the Internet of Things (IoT), and artificial intelligence (AI),
providing new ideas and methods for future high-performance computing systems.
關鍵字(中) ★ 異質多核心系統
★ 暫時性錯誤
★ 老化效應
★ 任務副本
關鍵字(英) ★ Heterogeneous multicore system
★ Transient error
★ Aging effects
★ Task replication
論文目次 摘要 i
Abstract ii
致謝 iv
Table of Contents 1
Table of Figures 3
Table of Tables 5
Chapter 1 Introduction 6
1.1 Background 7
1.2 Reliability Issues on Multicore System 9
1.3 Contributions 11
Chapter 2 Preliminaries 13
2.1 Heterogenous Multicore System 13
2.2 Transient Fault in Reliability Issue 15
2.3 Aging Effect in Reliability Issue 16
2.4 Previous works 18
Chapter 3 Problem Formulation 23
3.1 Heterogenous Multicore System with Real-time Task Model 23
3.2 Reliability Model on the Heterogenous Multicore System 27
3.3 Problem Modeling 29
Chapter 4 Framework 31
4.1 Framework overview 31
4.2 Task Classification 34
4.3 Core Status Evaluation 35
4.4 Task scheduling 36
4.5 Replication Number and Operating Frequency Decision 38
4.6 Task to core assignment 44
4.7 System Execution Evaluator 47
4.8 Time Complexity 48
Chapter 5 Experiment Setup 49
5.1 Lifetime Comparison 51
5.2 Energy Comparison 53
5.3 Process Variation 55
5.4 Impact of β on Lifetime and Energy Consumption 58
Chapter 6 Conclusions 60
Reference 61
參考文獻 [1] ARM. big.LITTLE, in https://www.arm.com/technologies/big-little
[2] ARM. DynamIQ, in https://www.arm.com/technologies/dynamiq
[3] M. A. Alam, S. Mahapatra, "A comprehensive model of PMOS NBTI degradation," Microelectronics Reliability, vol. 45, no. 1, pp. 71–81, Jan. 2005.
[4] R. Vattikonda, W. Wang and Y. Cao, "Modeling and minimization of PMOS NBTI effect for robust nanometer design," in emph{Proc.} Design Automation Conference, pp. 1047–1052, 2006.
[5] S. Pagani, P. D. Manoj, and A. Jantsch et al., "Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 1, pp. 101–116, Jan. 2020.
[6] H. Youness, A. Omar and M. Moness, "An Optimized Weighted Average Makespan in Fault-Tolerant Heterogeneous MPSoCs," in IEEE Transactions on Parallel and Distributed Systems, vol. 32, no. 8, pp. 1933-1946, 1 Aug. 2021, doi: 10.1109/TPDS.2021.3053150.
[7] A. Namazi, M. Abdollahi, S. Safari, S. Mohammadi and M. Daneshtalab, "LRTM: Life-time and Reliability-aware Task Mapping Approach for Heterogeneous Multi-core Systems," 2018 11th International Workshop on Network on Chip Architectures (NoCArc), Fukuoka, Japan, 2018, pp. 1-6, doi: 10.1109/NOCARC.2018.8541223.
[8] L. Yuan, S. Wei, M. Wang and H. Yu, "Fairness-aware scheduling algorithm for multiple DAGs based on task replication," 2018 9th International Conference on Information and Communication Systems (ICICS), Irbid, Jordan, 2018, pp. 169-174, doi: 10.1109/IACS.2018.8355461.
[9] F. Poursafaei, S. Safari, M. Ansari, A. Yeganeh-Khaksar, M. Salehi and A. Ejlali, "Energy- and Reliability-Aware Task Replication in Safety-Critical Embedded Systems," 2022 CPSSI 4th International Symposium on Real-Time and Embedded Systems and Technologies (RTEST), Tehran, Iran, Islamic Republic of, 2022, pp. 1-8, doi: 10.1109/RTEST56034.2022.9850176.
[10] F. R. Poursafaei, S. Safari, M. Ansari, M. Salehi and A. Ejlali, "Offline replication and online energy management for hard real-time multicore systems," 2015 CSI Symposium on Real-Time and Embedded Systems and Technologies (RTEST), Tehran, Iran, 2015, pp. 1-7, doi: 10.1109/RTEST.2015.7369847.
[11] M. A. Haque, H. Aydin and D. Zhu, "On Reliability Management of Energy-Aware Real-Time Systems Through Task Replication," in IEEE Transactions on Parallel and Distributed Systems, vol. 28, no. 3, pp. 813-825, 1 March 2017, doi: 10.1109/TPDS.2016.2600595.
[12] Z. Liu, C. Hu, B. Wang, J. Chen, S. Deng and J. Yu, "A Minimizing Energy Consumption Scheme for Real-Time Embedded System Based on Metaheuristic Optimization," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 42, no. 7, pp. 2276-2289, July 2023, doi: 10.1109/TCAD.2022.3215690.
[13] M. Ansari et al., "ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems," 2023 IEEE 29th Real-Time and Embedded Technology and Applications Symposium (RTAS), San Antonio, TX, USA, 2023, pp. 223-234, doi: 10.1109/RTAS58335.2023.00025.
[14] L. Mo, Q. Zhou, A. Kritikakou and J. Liu, "Energy Efficient, Real-time and Reliable Task Deployment on NoC-based Multicores with DVFS," 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 2022, pp. 1347-1352, doi: 10.23919/DATE54114.2022.9774667.
[15] A. Yeganeh-Khaksar, M. Ansari and A. Ejlali, "ReMap: Reliability Management of Peak-Power-Aware Real-Time Embedded Systems Through Task Replication," in IEEE Transactions on Emerging Topics in Computing, vol. 10, no. 1, pp. 312-323, 1 Jan.-March 2022, doi: 10.1109/TETC.2020.3018902.
[16] T. Wang, J. Zhou, L. Li, G. Zhang, K. Li and X. S. Hu, "Deadline and Reliability Aware Multiserver Configuration Optimization for Maximizing Profit," in IEEE Transactions on Parallel and Distributed Systems, vol. 33, no. 12, pp. 3772-3786, 1 Dec. 2022, doi: 10.1109/TPDS.2022.3170305.
[17] R. P. Weicker, "An overview of common benchmarks," Computer, vol. 23, no. 12, pp. 65-75, Dec. 1990.
[18] M. Guthaus, J. Ringenberg, and D. Ernst et al., "MiBench: A free, commercially representative embedded benchmark suite," in emph{Proc.} Workshop on Workload Characterization., pp. 3–14, 2001.
[19] R. Gensh, A. Aalsaud, and A. Rafiev et al., "Experiments with Odroid-XU3 board", Tech. Rep. No. CS-TR-1471, 2015.
[20] N. Binkert, B. Beckmann, and G. Black et al., "The gem5 simulator," SIGARCH Comput. Archit. News, vol. 39, no. 2, p. 1–7, Feb. 2011.
[21] F. A. Endo, D. Couroussé, and H.-P. Charles, “Micro-Architectural Simulation of Embedded Core Heterogeneity with Gem5 and McPAT,” in emph{Proc.} Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, pp. 1–6, 2015.
[22] S. Li, J. H. Ahn, and R.D.Strong et al., "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in Proc. International Symposium on Microarchitecture, pp. 469-480, 2009.
[23] R. P. Dick, D. L. Rhodes, and W. Wolf, "TGFF: task graphs for free," in Proc. International Workshop on Hardware/Software Codesign, pp. 97-101, 1998.
[24] Y. -G. Chen, I. -C. Lin and J. -T. Ke, "ROAD: Improving Reliability of Multi-core System via Asymmetric Aging," in Proc. International Conference on Computer-Aided Design, pp. 1–8, 2019.
[25] Y. -G. Chen, C. -S. Wang, I. -C. Lin, Z. -W. Chen and U. Schlichtmann, "Aging-Aware Energy-Efficient Task Deployment of Heterogeneous Multicore Systems," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, doi: 10.1109/TCAD.2023.3323163.
[26] M. Basoglu, M. Orshansky, and M. Erez, "NBTI-aware DVFS: A new approach to saving energy and increasing processor lifetime," in Proc. International Symposium on Low-Power Electronics and Design, pp. 253-258, 2010.
[27] P. Mercati, F. Paterna, A. Bartolini, L. Benini and T. S. Rosing, "Dynamic variability management in mobile multicore processors under lifetime constraints," 2014 IEEE 32nd International Conference on Computer Design (ICCD), Seoul, Korea (South), 2014, pp. 448-455, doi: 10.1109/ICCD.2014.6974718.
[28] Intel, "Intel turbo boost," in https://www.intel.com/content/www/us/en/ architecture-and-technology/turbo-boost/turbo-boost-max-technology
指導教授 陳聿廣(Yu-Guang Chen) 審核日期 2024-6-18
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明