參考文獻 |
[1] ARM. big.LITTLE, in https://www.arm.com/technologies/big-little
[2] ARM. DynamIQ, in https://www.arm.com/technologies/dynamiq
[3] M. A. Alam, S. Mahapatra, "A comprehensive model of PMOS NBTI degradation," Microelectronics Reliability, vol. 45, no. 1, pp. 71–81, Jan. 2005.
[4] R. Vattikonda, W. Wang and Y. Cao, "Modeling and minimization of PMOS NBTI effect for robust nanometer design," in emph{Proc.} Design Automation Conference, pp. 1047–1052, 2006.
[5] S. Pagani, P. D. Manoj, and A. Jantsch et al., "Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 1, pp. 101–116, Jan. 2020.
[6] H. Youness, A. Omar and M. Moness, "An Optimized Weighted Average Makespan in Fault-Tolerant Heterogeneous MPSoCs," in IEEE Transactions on Parallel and Distributed Systems, vol. 32, no. 8, pp. 1933-1946, 1 Aug. 2021, doi: 10.1109/TPDS.2021.3053150.
[7] A. Namazi, M. Abdollahi, S. Safari, S. Mohammadi and M. Daneshtalab, "LRTM: Life-time and Reliability-aware Task Mapping Approach for Heterogeneous Multi-core Systems," 2018 11th International Workshop on Network on Chip Architectures (NoCArc), Fukuoka, Japan, 2018, pp. 1-6, doi: 10.1109/NOCARC.2018.8541223.
[8] L. Yuan, S. Wei, M. Wang and H. Yu, "Fairness-aware scheduling algorithm for multiple DAGs based on task replication," 2018 9th International Conference on Information and Communication Systems (ICICS), Irbid, Jordan, 2018, pp. 169-174, doi: 10.1109/IACS.2018.8355461.
[9] F. Poursafaei, S. Safari, M. Ansari, A. Yeganeh-Khaksar, M. Salehi and A. Ejlali, "Energy- and Reliability-Aware Task Replication in Safety-Critical Embedded Systems," 2022 CPSSI 4th International Symposium on Real-Time and Embedded Systems and Technologies (RTEST), Tehran, Iran, Islamic Republic of, 2022, pp. 1-8, doi: 10.1109/RTEST56034.2022.9850176.
[10] F. R. Poursafaei, S. Safari, M. Ansari, M. Salehi and A. Ejlali, "Offline replication and online energy management for hard real-time multicore systems," 2015 CSI Symposium on Real-Time and Embedded Systems and Technologies (RTEST), Tehran, Iran, 2015, pp. 1-7, doi: 10.1109/RTEST.2015.7369847.
[11] M. A. Haque, H. Aydin and D. Zhu, "On Reliability Management of Energy-Aware Real-Time Systems Through Task Replication," in IEEE Transactions on Parallel and Distributed Systems, vol. 28, no. 3, pp. 813-825, 1 March 2017, doi: 10.1109/TPDS.2016.2600595.
[12] Z. Liu, C. Hu, B. Wang, J. Chen, S. Deng and J. Yu, "A Minimizing Energy Consumption Scheme for Real-Time Embedded System Based on Metaheuristic Optimization," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 42, no. 7, pp. 2276-2289, July 2023, doi: 10.1109/TCAD.2022.3215690.
[13] M. Ansari et al., "ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems," 2023 IEEE 29th Real-Time and Embedded Technology and Applications Symposium (RTAS), San Antonio, TX, USA, 2023, pp. 223-234, doi: 10.1109/RTAS58335.2023.00025.
[14] L. Mo, Q. Zhou, A. Kritikakou and J. Liu, "Energy Efficient, Real-time and Reliable Task Deployment on NoC-based Multicores with DVFS," 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 2022, pp. 1347-1352, doi: 10.23919/DATE54114.2022.9774667.
[15] A. Yeganeh-Khaksar, M. Ansari and A. Ejlali, "ReMap: Reliability Management of Peak-Power-Aware Real-Time Embedded Systems Through Task Replication," in IEEE Transactions on Emerging Topics in Computing, vol. 10, no. 1, pp. 312-323, 1 Jan.-March 2022, doi: 10.1109/TETC.2020.3018902.
[16] T. Wang, J. Zhou, L. Li, G. Zhang, K. Li and X. S. Hu, "Deadline and Reliability Aware Multiserver Configuration Optimization for Maximizing Profit," in IEEE Transactions on Parallel and Distributed Systems, vol. 33, no. 12, pp. 3772-3786, 1 Dec. 2022, doi: 10.1109/TPDS.2022.3170305.
[17] R. P. Weicker, "An overview of common benchmarks," Computer, vol. 23, no. 12, pp. 65-75, Dec. 1990.
[18] M. Guthaus, J. Ringenberg, and D. Ernst et al., "MiBench: A free, commercially representative embedded benchmark suite," in emph{Proc.} Workshop on Workload Characterization., pp. 3–14, 2001.
[19] R. Gensh, A. Aalsaud, and A. Rafiev et al., "Experiments with Odroid-XU3 board", Tech. Rep. No. CS-TR-1471, 2015.
[20] N. Binkert, B. Beckmann, and G. Black et al., "The gem5 simulator," SIGARCH Comput. Archit. News, vol. 39, no. 2, p. 1–7, Feb. 2011.
[21] F. A. Endo, D. Couroussé, and H.-P. Charles, “Micro-Architectural Simulation of Embedded Core Heterogeneity with Gem5 and McPAT,” in emph{Proc.} Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, pp. 1–6, 2015.
[22] S. Li, J. H. Ahn, and R.D.Strong et al., "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in Proc. International Symposium on Microarchitecture, pp. 469-480, 2009.
[23] R. P. Dick, D. L. Rhodes, and W. Wolf, "TGFF: task graphs for free," in Proc. International Workshop on Hardware/Software Codesign, pp. 97-101, 1998.
[24] Y. -G. Chen, I. -C. Lin and J. -T. Ke, "ROAD: Improving Reliability of Multi-core System via Asymmetric Aging," in Proc. International Conference on Computer-Aided Design, pp. 1–8, 2019.
[25] Y. -G. Chen, C. -S. Wang, I. -C. Lin, Z. -W. Chen and U. Schlichtmann, "Aging-Aware Energy-Efficient Task Deployment of Heterogeneous Multicore Systems," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, doi: 10.1109/TCAD.2023.3323163.
[26] M. Basoglu, M. Orshansky, and M. Erez, "NBTI-aware DVFS: A new approach to saving energy and increasing processor lifetime," in Proc. International Symposium on Low-Power Electronics and Design, pp. 253-258, 2010.
[27] P. Mercati, F. Paterna, A. Bartolini, L. Benini and T. S. Rosing, "Dynamic variability management in mobile multicore processors under lifetime constraints," 2014 IEEE 32nd International Conference on Computer Design (ICCD), Seoul, Korea (South), 2014, pp. 448-455, doi: 10.1109/ICCD.2014.6974718.
[28] Intel, "Intel turbo boost," in https://www.intel.com/content/www/us/en/ architecture-and-technology/turbo-boost/turbo-boost-max-technology |