博碩士論文 93521011 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:30 、訪客IP:18.221.192.248
姓名 曾琪耀(Chi-Yao Tseng)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 地面與手持數位電視廣播同步迴路之設計與實現及低功率技術
(Design and Implementation of DVB-T/H Synchronization Loop and Low Power Techniques)
相關論文
★ 即時的SIFT特徵點擷取之低記憶體硬體設計★ 即時的人臉偵測與人臉辨識之門禁系統
★ 具即時自動跟隨功能之自走車★ 應用於多導程心電訊號之無損壓縮演算法與實現
★ 離線自定義語音語者喚醒詞系統與嵌入式開發實現★ 晶圓圖缺陷分類與嵌入式系統實現
★ 語音密集連接卷積網路應用於小尺寸關鍵詞偵測★ G2LGAN: 對不平衡資料集進行資料擴增應用於晶圓圖缺陷分類
★ 低雜訊輸出緩衝器設計及USB2實體層的傳收器製作★ 低雜訊輸出緩衝器設計及USB2實體層的時脈回復器製作
★ 應用於通訊系統的內嵌式數位訊號處理器架構★ 應用於數位儲存示波器之100MHz CMOS 寬頻放大器電路設計
★ 具有QAM/VSB模式的載波及時序回復之數位積體電路設計★ 應用於通訊系統中數位信號處理器之模組設計
★ 應用於藍芽系統之CMOS射頻前端電路設計★ 具有QAM/VSB 模式之多重組態可適應性等化器的設計與實現
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 低功率設計一直是晶片設計的重要課題,尤其是愈來愈普及的可攜式電子裝置之使用時間受限於電池的有限載荷量。手持式數位電視廣播(DVB-H)利用時間切割技術來降低移動式接收機之功率消耗。在本論文中,我們著重於暫存器轉換層次的低功率設計技術之討論。我們的團隊分別針對架構和系統的低功率和低晶片面積觀點來設計此DVB-T/H基頻內接收機。我們採用一些低功率及功率察覺(power-aware)的設計以降低我們的DVB-T/H基頻內接收機的功率消耗。這些技術包含有預先計算、時脈閘控、運算元隔離、差值編碼、硬體共享、記憶體之分時多工讀寫、低功率算術運算架構以及功率管理者。最後,我們使用標準單元設計流程來實現此基頻內接收機。此基頻內接收機的架構包含有快速富利葉轉換(FFT)、前處理器(差分器、反旋轉器、彈性緩衝區、相位累加器)、後處理器(粗略符碼同步、散佈領航碼同步、通道估測)、快速富利葉轉換後估測(整數載波頻率誤差估測、餘數載波頻率誤差/取樣時脈誤差估測)。針對快速富利葉轉換後估測的部份(亦即同步迴路),我們降低了51.6 %的晶片面積以及53.3 %的功率消耗。此外,我們所提出的功率管理者依照不同的保護區間長度,降低系統在誤差追蹤模式3% ~ 20%之功率消耗。
摘要(英) Low power design is still an important issue of IC design; in particular, the popularizing portable electronic devices are time-limited used because of the finite energy capacity of battery. Digital Video Broadcasting for Handheld (DVB-H) introduces the time-slicing technique to decrease the power consumption of mobile receiver. In this thesis, we focus on the discussion about the register transfer level (RTL) low power design techniques. And our team designs the DVB-T/H baseband inner receiver with the low power and low area consideration in respect of architecture and system level. We utilize several low power and power aware design techniques to reduce the power consumption of our DVB-T/H baseband inner receiver. These techniques include pre-computation, clock gating, operand isolation, differential encoding, hardware sharing, time-multiplexing R/W of memory, low power arithmetic architecture and power manager. At last, we use the cell based design flow to implement our baseband inner receiver. The architecture of baseband inner receiver consists of FFT, Pre-processor (Interpolator, Derotator, elastic buffer and phase accumulator), Post-processor (Coarse Symbol Synchronization, Scattered Pilot Synchronization and Channel Estimation) and Post-FFT estimation (ICFO estimation and RCFO/SCO estimation). For the Post-FFT estimation (that is, synchronization loop), the area is reduced 51.6% and the power consumption is reduced 53.3%. Moreover, the proposed power manager reduces 3% ~ 20% power consumption according to different Guard Interval (GI) when the system is operating during the offset tracking mode.
關鍵字(中) ★ 低功率技術
★ 同步迴路
★ 數位電視廣播
關鍵字(英) ★ Low Power Technique
★ Synchronization Loop
★ Digital Video Broadcasting
論文目次 Chapter 1 Introduction 1
1.1 Overview of DVB-T System 1
1.2 Power Consumption in CMOS Circuit 4
1.3 Motivation 5
1.4 Thesis Organization 6
Chapter 2 RTL Low Power Design Techniques 7
2.1 Basic RTL Low Power Design 7
2.1.1 Precomputation 9
2.1.2 Clock Gating 10
2.1.3 Guarded Evaluation 11
2.1.4 FSM State Encoding 12
2.1.5 FSM Decomposition 13
2.1.6 Bus Encoding 14
2.1.7 Retiming 15
2.1.8 Parallel Architecture 16
2.1.9 Pipeline Architecture 17
2.2 Low Power Arithmetic 18
2.2.1 Signed-magnitude 19
2.2.2 LNS (Logarithmic Number System) 19
2.2.3 RNS (Residue Number System) 19
2.2.4 RLNS (Residue Logarithmic Number System) 19
2.3 On-Chip Memory 20
2.3.1 Hierarchical Memory and Computation Transformation 20
2.3.2 Memory Partitioning 22
2.4 Low Power Techniques in CAD Tool 22
2.4.1 Using DesignWare of SYNOPSYS 23
2.4.2 Clock Gating 25
2.4.3 Operand Isolation 26
2.4.4 Gate-level Power Optimization 28
Chapter 3 Power-Aware Design Techniques 31
3.1 Power-Aware Communication System 33
3.1.1 Energy Consumption of Electronic and RF in Radios 33
3.1.2 Dynamic Power Management (DPM) of Radios 34
3.2 Reconfigurable Processors 36
3.3 System-Level Dynamic Power Management 37
3.4 Dynamic Voltage Frequency Scaling System 39
3.5 Leakage Power Optimization Techniques 41
3.6 Multiple Vdd and Vth Low Power Design 44
Chapter 4 Hardware Design and Implementation of Post-FFT Synchronization Loop 46
4.1 Overview of DVB-T/H Baseband Inner Receiver 46
4.2 Timing Recovery Loop 49
4.2.1 SCO Estimation 49
4.2.2 Loop Filter 58
4.2.3 Interpolator Controller 59
4.2.4 Interpolator 61
4.2.5 Elastic Buffer 64
4.3 Carrier Frequency Recovery Loop 66
4.3.1 ICFO and FCFO Estimation 66
4.3.2 RCFO Estimation 68
4.3.2 Derotator 70
4.4 Dynamic Power Manager (DPM) 70
4.5 Summary of Low Power Design 77
Chapter 5 Implementation Result of DVB-T/H Baseband Inner Receiver 81
5.1 Chip Implementation Result 82
5.2 Measurement Consideration 85
5.2.1 Test of Specific Function 85
5.2.2 Design-for-Test with Scan Chain 86
Chapter 6 Conclusion and Future Work 87
Reference 89
參考文獻 [1] ETSI, “Digital Video Broadcasting: Framing Structure, Channel Coding and Modulation for Digital Terrestrial Television,” European Telecommunication Standard EN 300 744 V1.5, Nov. 2004
[2] T. Z. Wei, “Design of Carrier Recovery for DVB-T Baseband Receiver,” Master thesis, Dept. of Electrical Engineering, NCU, Taoyuan, Taiwan, 2005.
[3] http://www.dvb.org/about_dvb/dvb_worldwide/taiwan/
[4] R. S. Guindi, and F. N. Najm, “Design techniques for gate-leakage reduction in CMOS circuits,” International Symp. Proc. Quality Electronic Design, Mar. 2003, pp. 61 – 65.
[5] D. Soudris1, G. Theodoridis, K. Katis, A. Thanailakis1, and C.E. Goutis, “Structure and Techniques of the Low-Power Design Flow,” LPGD, 15/07/2000.
[6] B. Himanshu, Advanced ASIC Chip Synthesis Using Synopsys, Design Compiler, Physical Compiler, and PrimeTime, 2002.
[7] M. Pedram, J. M. Rabaey, Power Aware Design Methodologies, Kluwer Academic, 2002.
[8] IEEE Std. 1364.1 - 2002 IEEE Standard for Verilog Register Transfer Level Synthesis, IEEE Std 1364.1, 2002
[9] K. K. Parhi, VLSI Digital Signal Processing Systems, WILEY INTERSCIENCE.
[10] Z. Bob and M. Z. Robert, Verilog Designer's Library, Prentice Hall PTR, 1999.
[11] D. R. Smith, P. Franzon, Verilog Styles for Synthesis of Digital Systems, Prentice Hall, 2000.
[12] H. Juha and T. John, OFDM Wireless LANs: A Theoretical and Practical Guide, Sams, 2002.
[13] A. P. Chandrakasan, S. Sheng and R. W. Brodersen, “Low-power CMOS digital design,” IEEE Journal Solid-State Circuits, Vol. 27, Iss. 4, Apr. 1992, pp. 473 – 484.
[14] K. Michael and B. Pierre, Reuse Methodology Manual for System-on-a-chip Designs, 3REV ED, 2002.
[15] M. Pedram and A. Abdollahi, “Low-power RT-level synthesis techniques: a tutorial,” IEE Proc. Computers and Digital Techniques, Vol. 152, Issue 3, May 2005 pp. 333 – 343.
[16] Wolfgang Nebel and Laila Kabous, “A system-level methodology for low power design,” EEdesign, May 2, 2003.
[17] X. Tian, F. Jianhua, C. Zhongjian and J. Lijiu, “A new precomputation architecture of sequential logic circuits for low power,” Proc. 7th Int. Conf. Solid-State and Integrated Circuits Technology, vol.3, Oct. 2004, pp. 2071 – 2074.
[18] A. Abddollahi, M. Pedarm, F. Fallah and I. Ghosh, “Precomputation-based guarding for dynamic and leakage power reduction,” Proc. Int. Conf. Computer Design, Oct. 2003, pp. 90 – 97.
[19] S.Hassoun and C. Ebeling, “Using precomputation in architecture and logic resynthesis,” IEEE/ACM Int. Conf., Digest of Technical Papers, Computer-Aided Design, Nov. 1998, pp. 316 – 323.
[20] A. Mota, J. Monteiro and A. Oliveira, “Power optimization of combinational modules using self-timed precomputation,” Proc. Int. Symp. Circuits and Systems, ISCAS '98, vol.2, Jun. 1998, pp. 17 – 20.
[21] J. Monteiro, S. Devadas and A. Ghosh, “Sequential logic optimization for low power using input-disabling precomputation architectures,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 17, Issue 3, Mar. 1998, pp. 279 – 284.
[22] J. Monteiro, J. Rinderknecht, S. Devadas and A. Ghosh, “Optimization of combinational and sequential logic circuits for low power using precomputation,” Proc. Conf. Advanced Research in VLSI, Mar. 1995, pp. 430 – 444.
[23] M. Alidina, J. Monteiro, S. Devadas and A. Ghosh, M. Papaefthymiou, “Precomputation-based sequential logic optimization for low power,” IEEE Tran. Very Large Scale Integration (VLSI) Systems, Vol. 2, Issue 4, Dec. 1994, pp. 426 – 436.
[24] P. Babighian, L. Benini and E. Macii, “A scalable algorithm for RTL insertion of gated clocks based on ODCs computation,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 24, Issue 1, Jan. 2005, pp. 29 – 42.
[25] Hu Yue-li, Cao Jia-lin, Ran Feng and Liang Zhi-jian, “Design of a high performance microcontroller,” Proc. Conf. High Density Microsystem Design and Packaging and Component Failure Analysis, Jun.-Jul. 2004, pp. 25 – 28.
[26] P. Babighian, L. Benini and E. Macii, “A scalable ODC-based algorithm for RTL insertion of gated clocks,” Proc. Conf. Design, Automation and Test in Europe and Exhibition, Vol. 1, Feb. 2004, pp. 500 – 505.
[27] G. Palumbo, F. Pappalardo and S. San nella, “Evaluation on power reduction applying gated clock approaches,” IEEE Int. Symp. Circuits and Systems, ISCAS 2002, Vol. 4, May 2002, pp. IV-85 - IV-88.
[28] O. Jaewon and M. Pedram, “Gated clock routing for low-power microprocessor design,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, Issue 6, Jun. 2001, pp. 715 – 722.
[29] Y. Yu, Lei Zhou and H. Min “Design and VLSI implementation of an asynchronous low power microcontroller,” Proc. 4th Int. Conf. ASIC, Oct. 2001, pp. 797 – 799.
[30] R. S. Shelar, H. Narayanan and M.P. Desai, “Orthogonal partitioning and gated clock architecture for low power realization of FSMs,” IEEE Int. Proc. Conf. ASIC/SOC, Sept. 2000, pp. 266 – 270.
[31] S. M. Rao and S. K. Nandy, “Controller redesign based clock and register power minimization,” IEEE Int. Symp. Proc. Circuits and Systems, vol.3, May 2000, pp. 275 – 278.
[32] W. Qing, M. Pedram and W. Xunwei, “Clock-gating and its application to low power design of sequential circuits,” IEEE Trans. Circuits and Systems I: Fundamental Theory and Applications, Vol. 47, Issue 3, Mar. 2000, pp.415 – 420.
[33] H. Kapadia, L. Benini and G. De Micheli, “Reducing switching activity on datapath buses with control-signal gating,” IEEE Journal of Solid-State Circuits, Vol. 34, Issue 3, Mar. 1999, pp. 405 – 414.
[34] N. Raghavan, V. Akella and S. Bakshi, “Automatic insertion of gated clocks at register transfer level,” Proc. Twelfth Int. Conf. VLSI Design, Jan. 1999, pp.48 – 54.
[35] B. Oelmann and M. O'Nils, “Asynchronous control of low-power gated-clock finite-state-machines,” 6th IEEE Int. Proc. Conf. Electronics, Circuits and Systems of ICECS '99, vol.2, Sept. 1999, pp.915 – 918.
[36] T. Kitahara, F. Minami, T. Ueda, K. Usami, S. Nishio, M. Murakata and T. Mitsuhashi, “A clock-gating method for low-power LSI design,” Proc. of the ASP-DAC '98, Conf. Design Automation, Feb. 1998, pp. 307 – 312.
[37] W. E. Dougherty and D. E. Thomas, “Modeling and automating selection of guarding techniques for datapath elements,” Int. Proc. Symp. Low Power Electronics and Design, 1999, pp. 182 – 187.
[38] V. Tiwari, S. Malik and P. Ashar, “Guarded evaluation: pushing power management to logic synthesis/design,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 17, Issue 10, Oct. 1998, pp. 1051 – 1060.
[39] Y. Lin, Q. Gang T. Villa and A. Sangiovanni-Vincentelli, “FSM re-engineering and its application in low power state encoding,” Proc. Conf. Design Automation, ASP-DAC 2005, Vol. 1, Jan. 2005, pp. 254 – 259.
[40] I. Lemberski, M. Koegst, S. Cotofana and B. Juurlink; “FSM non-minimal state encoding for low power,” International Conference Microelectronics, Vol. 2, May 2002, pp. 605 – 608.
[41] S.-S. Park; C.-M. Kyung and S.-H. Hwang, “Efficient state encoding algorithm based on hypercube construction,” IEE Proc. Computers and Digital Techniques, Vol. 142, Iss. 3, May 1995, pp. 225 – 232.
[42] J. C. Monteiro and A. L. Oliveira, “Implicit FSM decomposition applied to low-power design,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 10, Issue 5, Oct. 2002, pp.560 – 565.
[43] J. C. Monteiro and A. L. Oliveira, “FSM decomposition by direct circuit manipulation applied to low power design,” Proc. Design Automation Conference, Jan. 2000, pp. 351 – 358.
[44] V. Sklyarov, “Hierarchical finite-state machines and their use for digital control,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 7, Issue 2, Jun. 1999, pp. 222 – 228.
[45] R. S. Shelar, M. P. Desai and H. Narayanan, “Decomposition of finite state machines for area, delay minimization,” Int. Conf. Computer Design (ICCD '99), Oct. 1999, pp. 620 – 625.
[46] S. Roy, P. Banerjee and M. Sarrafzadeh, “Partitioning sequential circuits for low power,” Eleventh Int. Conf. Proc. VLSI Design, Jan. 1998, pp. 212 – 217.
[47] J. C. Monteiro and A. L. Oliveira, “Finite state machine decomposition for low power,” Proc. Conf. Design Automation, Jun. 1998, pp. 758 – 763.
[48] M. R. Stan and W. P. Burleson, “Bus-invert coding for low-power I/O,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 3, Issue 1, Mar. 1995, pp. 49 – 58.
[49] S. Youngsoo, C. Soo-Ik and C. Kiyoung, “Partial bus-invert coding for power optimization of system level bus,” Proc. Int. Symp. Low Power Electronics and Design, Aug. 1998, pp. 127 – 129.
[50] S. Youngsoo, C. Soo-Ik and C. Kiyoung, “Reduction of bus transitions with partial bus-invert coding,” Electronics Letters, Vol. 34, Issue 7, Apr. 1998, pp. 642 – 643.
[51] S. Ramprasad, N.R. Shanbhag and I.N. Hajj, “A coding framework for low-power address and data busses,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 7, Issue 2, Jun. 1999, pp. 212 – 221.
[52] C. Wei-Chung and M. Pedram, “Memory bus encoding for low power: a tutorial,” Int. Symp. Quality Electronic Design, Mar. 2001, pp.199 – 204.
[53] T. Lindkvist, J. Lofvenberg and O. Gustafsson, “Deep sub-micron bus invert coding,” Proc. Symp. Signal Processing, 2004, pp.133 – 136.
[54] L. Benini, A. Bogliolo, G.A. Paleologo and G. De Micheli, “Policy optimization for dynamic power management,” IEEE Trans., Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, Issue 6, June 1999, pp. 813 – 833.
[55] Q. Qiu, Q. Qu and M. Pedram, “Stochastic modeling of a power-managed system-construction and optimization,” IEEE Trans., Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, Issue 10, Oct. 2001, pp. 1200 – 1217.
[56] D. Monticelli, “System approaches to power management,” 17th Annual IEEE, Applied Power Electronics Conference and Exposition, Vol. 1, 10-14 March 2002, pp. 3 – 7.
[57] M.B. Srivastava, A.P. Chandrakasan and R.W. Brodersen, “Predictive system shutdown and other architectural techniques for energy efficient programmable computation,” IEEE Trans., Very Large Scale Integration (VLSI) Systems, Vol. 4, Issue 1, March 1996, pp. 42 – 55
[58] Z. Ren, Krogh and H. B. R. Marculescu, “Hierarchical adaptive dynamic power management,” IEEE Trans., Computers, vol. 54, issue 4, April 2005, pp. 409 – 420.
[59] T. Burd, T. Pering, A. Stratakos and R. Brodersen, “A dynamic voltage scaled microprocessor system,” IEEE International Solid-State Circuits Conf., 7-9 Feb. 2000, pp. 294 - 295, 466.
[60] D. Sylvester and H. Kaul, “Power-driven challenges in nanometer design,” IEEE Design & Test of Computers, vol. 18, Issue 6, Nov.-Dec. 2001, pp. 12 – 21.
[61] Synopsys Power Compiler™ User Guide, Synopsys Online Documentation (SOLD), Vol. 1, 2, W-2004.12.
[62] A. Niyonkuru, H.C. Zeidler, “Designing a runtime reconfigurable processor for general purpose applications,” Symp. Proceedings. 18th Inter. Parallel and Distributed Processing, April 2004, pp. 143.
[63] E. F. Stefatos, H. Wei, T. Arslan and R. Thomson, “Low-power reconfigurable VLSI architecture for the implementation of FIR filters,” IEEE Symp. Inter. Proc. Parallel and Distributed, Apr. 2005, pp. 4.
[64] G.W. Donohoe, “Low-power reconfigurable processor,” IEEE Proc. Aerospace Conference, Volume 4, 2002, pp. 4-1969 - 4-1973.
[65] E.F. Stefatos, H. Wei, T. Arslan and R. Thomson, “Low-power reconfigurable VLSI architecture for the implementation of FIR filters,” IEEE International Proc. Symp. Parallel and Distributed Processing, Apr. 2005, pp. 4.
[66] V. RAGHUNATHAN, C. SCHURGERS, S. PARK, and M. SRIVASTAVA, “Energy-aware wireless sensor networks,” IEEE Signal Processing Magazine, 19, 2, 2002, pp. 40–50.
[67] http://www.cadence.com/company/newsroom/press_releases/pr.aspx?xml=090805_itri.
[68] C. Gopalakrishnan and S. Katkoori, “Resource allocation and binding approach for low leakage power,” Proc. Inter. conf. VLSI Design, Jan. 2003, pp. 297 – 302.
[69] C. Y. Lai, J. H. Lin and Y. F. Wang, “DVFS SoC 設計與實現,” Technical Report, SOC 002, pp. 84–91.
[70] A. Abdollahi, F. Fallah and M. Pedram, “Leakage current reduction in CMOS VLSI circuits by input vector control,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 12, Iss. 2, Feb. 2004, pp. 140 – 154.
[71] T. Kitahara, N. Kawabe, F. Minami, K. Seta, and T. Furusawa, “Area-efficient selective multi-threshold CMOS design methodology for standby leakage power reduction,” Proc. Design, Automation and Test in Europe, 2005, pp. 646 – 647.
[72] S. Dimitrios, P. Christian and G. Costas, Designing CMOS circuits for low power, Kluwer Academic Publishers, 2002.
[73] A. Macii, L. Benini, M. Poncino, Memory Design Techniques for Low Energy Embedded Systems, Kluwer, 2002.
[74] Gartner, Inc., Final 2000 Worldwide Semiconductor Market Share, 2000.
[75] M. Bhardwaj, M. Rex and A. Chandrakasan, “Power-aware systems,” in Conf. of the Thirty-Fourth Asilomar Conference, Signals, Systems and Computers, Vol. 2, Nov. 2000, pp. 1695 – 1701.
[76] J. R. Sacha and M. J. Irwin, “Number representations for reducing data bus power dissipation,” Conf. Signals, Systems & Computers, vol. 1, Nov. 1998 pp. 213 – 217.
[77] A. K. Peters, Computer arithmetic algorithms, KOREN, I., 2002.
[78] Y. Wan, M.A. Khalil and C.-L. Wey, “Efficient conversion algorithms for long-word-length binary logarithmic numbers and logic implementation,” IEE Proc., Computers and Digital Techniques, Vol. 146, Iss. 6, Nov. 1999, pp. 295 – 301.
[79] V. Paliouras and T. Stouraitis, “Novel high-radix residue number system architectures,” IEEE Trans. Circuits and Systems II: Analog and Digital Signal Processing, Vol. 47, Issue 10, Oct. 2000, pp. 1059 – 1073.
[80] M. Farrahi, G. E. Tellez and M. Sarrafzadeh, “Memory segmentation to exploit sleep mode operation,” Proceedings of the Design Automation Conference, June, 1995, pp. 36-41.
[81] M.G. Arnold, “The residue logarithmic number system: theory and implementation,” IEEE Symp. Computer Arithmetic, June 2005, pp. 196 – 205.
[82] SynopsysTM, DesignWare Building Block IP User Guide, Mar. 16, 2005.
[83] SynopsysTM, Design Compiler Reference Manual: Constraints and Timing, (SOLD), Vol. 1, 2, W-2004.12.
[84] F. Frescura, S. Pielmejer, G. Baruffa and S. Cacopardi, “DSP Based OFDM Demodulator for Professional DVB-T Receivers,” IEEE Trans. Broadcasting, vol. 45, no. 3, pp. 323-332, Sep. 1999.
[85] S. A. Fechtel, “OFDM Carrier and Sampling Frequency Synchronization and Performance on Stationary and Mobile Channels,” IEEE Trans. Consumer Electronics, vol. 46, no. 3, pp. 438-441, Aug. 2000.
[86] M. Speth, S. Fechtel, G. Fock and H. Meyr, “Optimum Receiver Design for OFDM-Based Broadband Transmission Part II: A Case Study,” IEEE Trans. Comm., vol.49, no. 4, pp. 571-578, Apr. 2001.
[87] Y. B. Mahdy, S. A. Ali, K. M. Shaaban, “Algorithm and Two Efficient Implementations for Complex Multiplier,” Proceedings of ICECS '99, vol 2, 1999, pp. 949-952.
[88] Kyung-Wook Shin; Bang-Sup Song, “A complex multiplier architecture based on redundant binary arithmetic,” Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age. ISCAS 97, pp. 1944-1947.
[89] M. Rex and C. Anantha, “Power-Aware Communication for Wireless Microsensor Networks,” Massachusetts Institute of Technology Jan., 2002.
指導教授 蔡宗漢、周世傑
(Tsung-Han Tsai、Shyh-Jye Jou)
審核日期 2006-10-17
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明