博碩士論文 92521011 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:49 、訪客IP:13.59.241.75
姓名 李牧勳(Mu-Shun Lee)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 可在設計前段分析動態電壓降的電流波形模型之研究
(Front-End Supply Current Waveform Models for Dynamic IR-Drop Analysis)
相關論文
★ 運算放大器之自動化設計流程及行為模型研究★ 高速序列傳輸之量測技術
★ 使用低增益寬頻率調整範圍壓控震盪器 之1.25-GHz八相位鎖相迴路★ 類神經網路應用於高階功率模型之研究
★ 使用SystemC語言建立IEEE 802.3 MAC 行為模組之研究★ 以回填法建立鎖相迴路之行為模型的研究
★ 高速傳輸連結網路的分析和模擬★ 一個以取樣方式提供可程式化邏輯陣列功能除錯所需之完全觀察度的方法
★ 抑制同步切換雜訊之高速傳輸器★ 以行為模型建立鎖相迴路之非理想現象的研究
★ 遞迴式類神經網路應用於序向電路之高階功率模型的研究★ 用於命題驗証方式的除錯協助技術之研究
★ Verilog-A語言的涵蓋率量測之研究★ 利用類神經模型來估計電源線的電流波形之研究
★ 5.2GHz CMOS射頻接收器前端電路設計★ 適用於OC-192收發機之頻率合成器和時脈與資料回復電路
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 當超大型積體電路設計演進到奈米時代,功率完整性問題變成限制電路效能的最主要原因之ㄧ。電源線上的電壓降以及同步切換雜訊是兩個影響功率完整性的常見問題。因為這兩種雜訊都跟電源上的電流大小以及變化程度有極大的關係,因此,必須要有準確的電流源波形才能準確的分析電壓源雜訊。
在傳統的設計流程中,電壓源上的電流波形必須依靠電晶體層級之電路模擬才能獲得準確的估測,因此必須在電路設計流程的後期才能進行電源完整性的驗證。對大電路而言,想要模擬整個電路需要龐大的計算時間以及資源,這種模擬的方式就顯得不太實際。如果在這個階段發現了電源完整性的問題,設計者通常也只能加寬電源線或是增加降耦合電容來改善電壓降的現象。然而,若是在設計流程的初期就可以大略估計電壓源上的電流波形,就能使用更多有效率的方法來消除功率雜訊的影響,例如重新合成或是電源閘控技術
在現今的設計流程之中,使得電流波形無法在設計初期估計出來的原因主要有兩個。第一個原因是在設計初期缺乏跟功率源有關的資訊。在暫存器資料轉移層級之中,所有節點的電壓均被視為邏輯值1和0,設計者僅考慮電路功能的正確性而忽略了電壓降效應。在邏輯閘層級,雖然有更多相關的設計資訊,例如電壓值以及每個邏輯閘的功率消耗。然而,由於缺少電壓源上的電流大小及其變化率,這些資訊仍然不足以用來分析電壓降的大小。
第二個原因是缺少電壓源雜訊對電路行為的影響。在現今的電壓降分析之中,電源網路常被用簡化成簡單的電阻電感電容網路,而切換電流被簡化為簡單的電流源。這些電流源是在理想的電流網路中被模擬出來的。然而,有受到電壓源雜訊影響的電流波形跟理想電流網路中量測到的電流會有些許不同。因此,若是使用理想的電流波形來估計電壓降的大小,通常會有一定的誤差。
本篇論文提出了一系列可在設計初期估計電壓降分析之電流波形估計方法。對於邏輯閘層級的電路,本論文提出了僅需要標準元件庫資料的分析式電流模型[33] [34],也提出了修正標準元件庫資料的方法來考量電源雜訊的影響[35] [36],以提供更準確的估測結果。對暫存器資料轉移層級的設計,本論文提出了改良式的區塊層級電流模型來提供必要的電流資訊[37] [38]。對於電源雜訊的影響,也提出了修正轉移函數的方式來修正電流波形[38]。如果能將這些估測技術整合進入現今的設計流程之中,設計者便可在設計層級盡量避免電壓降的問題。使得電路設計更加迅速與正確。
摘要(英) As VLSI technology advances into the nanometer era, the power integrity problem is becoming one of the most critical issues that limit design performance. IR-drop and simultaneous switching noise (SSN) are two major power supply noises (PSNs) causing power integrity problems. Because these two noises are highly dependent on the magnitude and slope of supply currents, accurate supply current waveforms are required for precise analysis.
Traditionally, accurate supply current waveforms can only be obtained using the transistor-level circuit simulation. Therefore, in the present design flow, a power integrity check is performed mostly in late design stages. This approach may be impractical for large designs because simulating the entire design at the transistor level requires great computational resources. If a power integrity problem is identified, designers often increase the width of the supply lines or add decoupling capacitors to reduce the IR-drops. However, if the supply current waveforms can be obtained in early design stages, more efficient IR-drop reduction technologies can be used to reduce the power supply noise, such as re-synthesis and power-gating.
In the present design flow, supply current waveforms are not easily obtained in early design stages because of two major reasons. The first reason is the lack of supply voltage information at the front-end design level. All internal voltages are viewed as logic-1 and logic-0 at the RT (Register Transfer)-level. Designers only consider the functional correctness of designs and ignore the IR-drop effects. At the gate level, more information is available, such as the supply voltage and the average power of each standard gate. However, this power information is inadequate in estimating IR-drops because it cannot provide the slope and maximum amplitude of the supply current for IR-drop analysis.
The second reason is the lack of dynamic changes on the power information under different supply noises. In the conventional IR-drop analysis, power girds are modeled as an RLC network, and the switching currents are modeled as simple current sources. These current sources are obtained by simulating switching circuits with ideal supply voltages. However, the supply current waveforms with the supply noises are different, compared to ideal ones. Extra errors may occur if the ideal current waveform is used to estimate the situations under supply noises.
This dissertation proposes several front-end supply current waveform modeling techniques to support the IR-drop at early design stages analysis. For gate-level designs, an analytical current model is proposed [33] [34], which uses standard library information to estimate supply current waveforms. The library adjustment method under supply noises is also proposed [35] [36] for more accurate estimation of real supply noises. For functional-level analysis, an improved macro-level current model is proposed [37] [38] to provide the essential current information. The waveform transformation method also proposed to modify the generated current waveforms to reflect the supply noise effects [38]. If these techniques are integrated in the present design flow, power integrity checks can be performed at early design stages to prevent IR-drop issues.
關鍵字(中) ★ 前段設計
★ 動態電壓降分析
★ 電流模型
關鍵字(英) ★ Front-end current models
★ Dynamic IR-drop analysis
論文目次 Chapter 1 Introduction …………………………………………………………...- 1 -
1.1 Power Integrity Issue - 1 -
1.2 IR-Drop Analysis - 3 -
1.3 IR-Drop Analysis in VLSI Design Flow - 8 -
1.4 Proposed Front-End Current Waveform Models - 11 -
1.5 Organization of This Dissertation - 14 -
Chapter 2 Gate-Level Supply Current Waveform Estimation - 16 -
2.1 Gate-Level Supply Current Waveform Estimation - 16 -
2.2 Standard Library Information - 18 -
2.3 Supply Current Waveform Estimation Using Standard Library
Information - 20 -
2.3.1 Simple Logic Cells - 21 -
2.3.2 Composite Logic Cells - 26 -
2.3.3 Sequential Elements - 30 -
2.3.4 Multiple Transitions - 39 -
2.4 IR-Drop Aware Library Adjustment Methods - 42 -
2.4.1 Timing and Power Adjustment of Combination Cells - 42 -
2.4.2 Timing and Power Adjustment of Sequential Elements - 46 -
2.4.3 Timing Correction of Cell Switching Activities - 50 -
2.5 Experimental Results - 52 -
2.5.1 Experimental Result of Supply Current Waveform Estimation Method - 52 -
2.5.2 Experimental Result of Library Adjustment Method - 56 -
2.6 Summary - 58 -
Chapter 3 High-Level Current Model of Logic Blocks - 59 -
3.1 DCT-Based Macro-Level Current Waveform Model - 59 -
3.2 Problem of DCT-Based Current Model - 63 -
3.3 Dynamic Levelization Algorithm - 66 -
3.4 Levelized Current Macro Model - 70 -
3.4.1 Training Sets Selection - 71 -
3.4.2 Waveform Characterization - 73 -
3.4.3 Model Construction - 75 -
3.4.4 Waveform Evaluation - 77 -
3.5 Waveform Transformation with IR Drop - 80 -
3.6 Experimental Results - 83 -
3.6.1 Waveform Transformation Method Verification - 84 -
3.6.2 High-Level IR-Drop Analysis - 86 -
3.7 Summary - 92 -
Chapter 4 Conclusion and Future Work ………………………………………..- 93 -
Reference …………………………………………………………………………- 96 -
Publication List ………………………………………………………………….- 101 -
參考文獻 [1] K., Arabi, R. Saleh and X. Meng , “Power Supply Noise in SoCs: Metrics, Management, and Measurement”, IEEE Design & Test of Computers, vol. 24, no. 3, pp. 236-244, May 2007.
[2] H.H Chen and D.D. Ling, “Power Supply Noise Analysis Methodology for Deep-submicron VLSI Chip Design”, in Proceedings of ACM/IEEE Design Automation Conference, pp. 638-643, June 1997.
[3] S. Lin and N. Chang, “Challenges in Power-ground Integrity”, in Proceedings of. ACM/IEEE International. Conference on Computer-Aided Design, pp. 651-654, November 2001.
[4] M. Tehranipoor and K.M. Butler, “Power Supply Noise: A Survey on Effects and Research”, IEEE Design &. Test of Computers, vol. 27, no. 2, pp. 51-67, March 2010.
[5] Z. Wang, R. Murgai and J. Roychowdhury, “ADAMIN: Automated, Accurate Macromodeling of Digital Aggressors for Power and Ground Supply Noise Prediction”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 1, pp. 56-64, January 2005.
[6] M.-F. Wu, J.-L. Huang, X. Wen and K. Miyase, “Power Supply Noise Reduction for At-speed Scan Test in Linear-decompression Environment”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 11, pp. 1767-1776, November 2009.
[7] I.A. Ferzli, E. Chiprout and F.N. Najm, “Verification and Codesign of the Package and Die Power Delivery System Using Wavelets”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 1, pp. 92-102, January 2010.
[8] W. Guo, Y. Zhong and T. Burd, “Context-sensitive Static Transistor-level IR Analysis”, in Proceedings of ACM/IEEE International Conference on Computer.-Aided Design, pp. 797 - 802, November 2008.
[9] PrimeRail User Guide: Dynamic Analysis X-2005.09, Synopsys, September 2005
[10] S.K. Nithin, G. Shanmugam and S. Chandrasekar, “Dynamic Voltage (IR) Drop Analysis and Design Closure: Issues and Challenges”, in Proceedings of IEEE International Symposium on Quality Electronic Design, pp. 611-617, March 2010
[11] J. Xie and M. Swaminathan, M., “DC IR Drop Solver for Large Scale 3D Power Delivery Networks”, in Proceedings of IEEE Conference. on Electrical Performance of Electronic Packaging and Systems , pp. 217-220, October 2010
[12] J.N. Kozhaya, S.R. Nassif and F.N. Najm, “A Multigrid-like Technique for Power Grid Analysis”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 10, pp. 1148-1160, October 2002.
[13] H. Qian, S.R. Nassif and S.S. Sapatnekar, “Random Walks in a Supply Network”, in Proceedings of ACM/IEEE Design Automation Conference., pp 93-98, June 2003.
[14] M. Zhao, R.V. Panda, S.S. Sapatnekar, and D. Blaauw, D, “Hierarchical Analysis of Power Distribution Networks”, IEEE Transactions on. Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 2, pp. 159-168. October 2002
[15] Z. Yu and M.D.F. Wong, “Fast Algorithms for IR Drop Analysis in Large Power Grid”, in Proceedings of ACM/IEEE International Conference on Computer-Aided Design, pp. 351-357, November 2005.
[16] D. Kouroussis and F.N. Najm, “A Static Pattern-independent Technique for Power Grid Voltage Integrity Verification”, in Proceedings of ACM/IEEE Design Automation Conference, pp. 99-104, June 2003.
[17] D. Kouroussis, I.A. Ferzli and F.N. Najm, “Incremental Partitioning-based Vectorless Power Grid Verification”, in Proceedings of ACM/IEEE International Conference on Computer-Aided Design, pp. 358-364, November 2005.
[18] H. Qian, S.R. Nassif and S.S. Sapatnekar, “Early-stage Power Grid Analysis for Uncertain Working Modes”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no.5, pp. 676-682, May 2005.
[19] S. Zhao, K. Roy and C.-K. Koh, “Decoupling Capacitance Allocation and Its Application to Power-supply Noise-aware Floorplanning”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no.1, pp. 81-92, January 2002.
[20] H.-M. Chen. L.-D. Huang. I-M. Liu and M.D.F Wong, “Simultaneous Power Supply Planning and Noise Avoidance in Floorplan Design”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 4, pp. 578-587, April 2005.
[21] A. Boliolo, L. Benini, G. de Micheli, and B. Ricco, “Gate-level Power and Current Simulation of CMOS Integrated Circuits”, IEEE Transactions on Very Large Scale Integration Systems, vol. 5, no. 4, pp. 473-488, December 1997.
[22] K. Shimazaki, H. Tsujikawa, S. Kojima, and S. Hirano, “LEMINGS: LSI’s EMI-noise Analysis with Gate Level Simulator”, in Proceedings of IEEE International Symposium. Quality Electronic Design, pp. 129-136, March 2000.
[23] PrimeTime PX User Guide Version C-2009.06, Synopsys, June 2009.
[24] M. Nemani and F. Najm, “Towards a High-Level Power Estimation Capabillity”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, pp. 588-598, June 1996.
[25] D. Marculescu, R. Marculescu, and M. Pedram, “Information Theoretic Measures of Energy Consumption at Register Transfer Level”, in Proceedings of ACM/IEEE International Symposium on Low Power Design, pp 87-92, April 1995
[26] S. Gupta and F. N. Najm, “Analytical Models for RTL Power Estimation of Combinational and Sequential Circuits”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, no. 7, pp. 808-814, July 2000.
[27] Q. Wu, Q. Qiu, M. Pedram, and C.-S. Ding, “Cycle-accurate Macro-models for RT-level Power Analysis”, IEEE Transactions on Very Large Scale Integration Systems, vol. 6, no. 4, pp. 520-528, December 1998.
[28] A. Bogliolo, R. Corgnati, E. Macii and M. Poncino, “Parameterized RTL Power Models for Soft Macros”, IEEE Transactions on Very Large Scale Integration Systems, vol. 9, no. 6, pp. 880-887, December 2001
[29] Gupta, S. Najm, F.N. “Energy-per-cycle Estimation at RTL”, in Proceedings of IEEE International Symposium on Low Power Electronics and Design, pp. 121-126, August 1999.
[30] C.-Y. Hsu, C.-N.J. Liu and J.-Y. Jou, “An efficient IP-level Power Model for Complex Digital Circuits”, in Proceedings of Asia and South Pacific Design Automation Conference, pp. 610-613, January 2003
[31] G. Blakiewicz and M. Chrzanowska-Jeske, “Supply Current Spectrum Estimation of Digital Cores at Early Design”, IET Circuits, Devices & System, vol. 1, no. 3, pp. 233-240, June 2007.
[32] S. Bodapati and F. N. Najm, “High-level Current Macro Model for Logic Blocks”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 5, pp. 837-855, May 2006.
[33] M.-S. Lee, C.-H. Lin, C.-N.J. Liu, and S.-C. Lin, “Quick Supply Current Waveform Estimation at Gate Level Using Existed Cell Library Information”, in Proceedings of ACM Great Lakes Symposium on VLSI systems, pp. 135-138, May 2008.
[34] M.-S Lee and C.-N.J. Liu, “Dynamic Supply Current Waveform Estimation with Standard Library Information”, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Vol. 93-A, No. 3, pp. 595-606, March 2010.
[35] M.-S. Lee, K.-S. Lai, C.-L. Hsu, and C.-N.J. Liu, “Dynamic IR Drop Estimation at Gate Level with Standard Library Information”, in Proceedings of IEEE International Symposium on Circuits and Systems, pp. 2606-2609, May 2010.
[36] M.-S Lee and C.-N.J. Liu, “Library-Based Gate-Level Current Waveform Modeling for Dynamic Supply Noise Analysis”, VLSI Design, InTech (ISBN 978-953-307-884-7), pp. 183-208, January 2012.
[37] M.-S. M. Lee, W.-T. Liao, G.-M. Zhu and C.-N.J. Liu, “A High-Level Current Model for Macro Cells Using Dynamic Levelization Algorithm”, in Proceedings of IEEE International Symposium on VLSI Design, Automation and Test, pp. 1-4, April 2011.
[38] M.-S. Lee, W.-T. Liao, and C.-N.J. Liu, “Levelized High-Level Current Model of Logic Blocks for Dynamic Supply Noise Analysis”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 6, pp. 845-857, June 2012.
[39] Library Compiler User Guide: Modeling Timing and Power Technology Libraries, Synopsys, March 2003.
[40] CCS Power Technical White Paper Version 3.0, Synopsys, November 2005.
[41] CCS Timing Library Characterization Guidelines Version 3.2, Synopsys, December 2008.
[42] Open Source ECSM Format Specification Version 2.1, Cadence, December 2006.
[43] W.-T. Hsieh, C.-C Shiue, and C.-N. Liu, “Efficient Power Modeling Approach of Sequential Circuits Using Recurrent Neural Networks”, IET Computers and Digital Techniques, vol. 153, No. 2, pp. 78-86, March 2006.
[44] L.-T. Wang, C.-W. Wu, and X. Wen, VLSI Test Principles and Architecture: Design for Testability, Amsterdam, Boston: Elsevier Morgan Kaufmann Publishers, 2006.
[45] S. Haykin and B.V. Veen, Signals and systems, 2ed, Hoboken, NJ: John Wiley & Sons, 2005.
[46] Y.-M. Jiang and K.-T. Cheng, “Vector Generation for Power Supply Noise Estimation and Verification of Deep Submicron Designs”, IEEE Transactions on Very Large Scale Integration Systems, vol. 9, no. 2, pp. 329-340, April 2001.
指導教授 劉建男(Chien-Nan Jimmy Liu) 審核日期 2012-7-2
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明