博碩士論文 995201031 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:21 、訪客IP:3.15.138.98
姓名 張立蓉(Li-Jung Chang)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 應用於三維記憶體之可靠度增強技術
(Reliability-Enhancement Techniques for TSV-Based 3D RAMs)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 使用穿矽穿孔 (TSV) 的三維整合技術是目前新興的電路設計技術。其中,三維隨機存取記憶體是三維整合技術中主流的應用。在三維記憶體之中,可靠度和良率是兩個主要的挑戰。在動態記憶體 (DRAM) 中的資料保存時間是一潛在的可靠度議題。動態記憶體中的資料保存時間取決於其溫度,若動態記憶體內的溫度導致記憶體單元的資料保存時間變短,則可被視作有一個軟錯誤。雖然可藉由提高更新頻率來解決資料保存問題,但其功耗也相對增加,使資料保存問題更加惡化。錯誤更正碼技術可減輕由於溫度帶來的可靠度問題。典型的錯誤更正碼技術提供記憶體中每個字元相同的修復能力。由於三維記憶體中每個晶粒和通道間的溫度差異很大,此方法並不能有效的適用於三維記憶體。

在本論文中,我們提出了一個在三維記憶體中根據其錯誤率,增強可靠度並有效利用面積的錯誤更正碼機制。此機制可使記憶體中的每一區塊有各自相對應的修復能力,且達到面積與可靠度的最佳化。此外,我們同時提出一個動態調整的錯誤更正碼機制。我們提出了一個方法來評估三維記憶體中的每一個記憶體區塊的軟錯誤率。此動態調整的錯誤更正碼機制可根據記憶體區塊中的軟錯誤率,動態地調整錯誤修復能力。最後,我們提出了一個三維記憶體中可靠度和良率的評估平台。該平台可模擬三維記憶體中的記憶體和矽穿孔良率,並可評估三維記憶體中的可靠度。
摘要(英) Three-dimensional (3D) integration technology using through-silicon via (TSV) is an emerging integrated circuit design technology. 3D random access memory (RAM) is one popular application of 3D integration technology. Reliability and yield are two challenges for designing and implementing 3D RAMs. Data retention may result in reliability issue when a dynamic random access memory (DRAM) is used in field, since the data retention time of DRAMs is related to their temperature. If the temperature causes that the data retention time of a DRAM cell is not long enough, then the DRAM cell can be regarded as it has a soft error. Although increasing refresh frequency is one approach to resolve data retention problem, the increased power consumption worsens the issue of data retention. Error correction code (ECC) technique can mitigate the reliability issue caused by temperature. Typical ECC techniques provide fixed correction capability for each word of a RAM, which are not effective for 3D RAMs due to the difference of thermal distribution of different dies and channels is large.
In this thesis, we propose an area and reliability efficient-ECC scheme (ARE-ECC) for enhancing the reliability of 3D RAMs according to its error rate. The ARE-ECC scheme makes each memory block have its corresponding correction ability such that the area cost of parity bits and the reliability are optimized. Furthermore, a dynamically adaptive ECC (DA-ECC) scheme is also proposed. A simple approach is used to estimate the soft error rate of each memory block in a 3D RAM. Then, the DA-ECC scheme can dynamically change the error correction ability for each memory block according to the soft error rate of the memory block. Finally, a reliability and yield evaluation platform is proposed to evaluate the reliability and the yield of 3D RAMs. The platform can simulate the yield of 3D RAMs and TSVs. It also can evaluate the reliability of 3D RAMs.
關鍵字(中) ★ 三維記憶體
★ 可靠度
★ 錯誤更正碼
關鍵字(英) ★ 3D RAM
★ Reliability
★ ECC
論文目次 Contents
1 Introduction 1
1.1 3D Integration Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 3D RAM Architectures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.3 Challenges of 3D ICs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.4 Thesis Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.5 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
2 Area and Reliability Efficient ECC Scheme for 3D RAMs 9
2.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
2.2 Soft Error in 3D RAMs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.2.1 Particles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.2.2 Thermal Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.3 Error Correction Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
2.4 Proposed ARE-ECC Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.4.1 ARE-ECC Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.4.2 Proposed Word-Partition Flow for ARE-ECC Scheme . . . . . . . . . . . 15
2.4.3 Check-bit Placement Methodology . . . . . . . . . . . . . . . . . . . . . . 17
ii2.4.4 3D RAMs with Inter-Die and Intra-Die Interleaving Scheme . . . . . . . . 18
2.5 Analysis and Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 19
2.5.1 Reliability Evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
2.5.2 Comparison Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
2.5.3 Hardware Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . 34
2.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3 Dynamically Adaptive ECC Scheme for 3D RAMs 37
3.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
3.2 Proposed Dynamically Adaptive Correction Ability Scheme . . . . . . . . . . . . 39
3.2.1 Local Soft Error Rate Detection . . . . . . . . . . . . . . . . . . . . . . . 41
3.2.2 Global Soft Error Rate Detection . . . . . . . . . . . . . . . . . . . . . . . 45
3.2.3 Design of the DA-ECC Circuit . . . . . . . . . . . . . . . . . . . . . . . . 47
3.3 Analysis and Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 49
3.3.1 Comparison with Previous Works . . . . . . . . . . . . . . . . . . . . . . 49
3.3.2 Hardware Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . 50
3.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
4 Reliability and Yield Evaluation Platform of 3D-RAMs 54
4.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
4.2 Built-In Self Repair Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
4.3 Reliability and Yield Analysis for 3D-RAMs . . . . . . . . . . . . . . . . . . . . 57
4.4 Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
4.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
iii5 Conclusion and Future Work 78
5.1 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
5.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
參考文獻 [1] P. Jacob, A. Zia, O. Erdogan, P. M. Belemjian, J.-W. Kim, M. Chu, R. P. Kraft, J. F. McDonald, and K. Bernstein, “Mitigating memory wall effects in high-clock-rate and multicore CMOS 3-D processor memory stacks,” Proc. of the IEEE, vol. 97, no. 1, pp. 108–122, Jan. 2009.
[2] Y. Xie, G. H. Loh, B. Black, and K. Bernstein, “Design space exploration for 3D architecture,” ACM Journal on Emerging Technologies in Computing Systems, vol. 2, no. 2, pp. 65–103, Apr. 2006.
[3] H. Sun, J. Liu, R. S. Anigundi, N. Zheng, J. Q. Lu, K. Rose, and T. Zhang, “3D DRAM design and application to 3D multicore systems,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 36–47, Sept.-Oct. 2009.
[4] Micron, “Hybrid memory cube,” http://www.micron.com/, Oct. 2011.
[5] E. J. Marinissen and Y. Zorian, “Testing 3D chips containing through-silicon vias,” in Proc. Int’l Test Conf. (ITC), Nov. 2009, pp. 1–11.
[6] E. J. Marinissen, “Challenges and emerging solutions in testing TSV-based 2 1
2D- and 3D-stacked ICs,” in Proc. Conf. Design, Automation, and Test in Europe (DATE), Mar. 2012, pp. 1277–1282.
[7] R. Baumann, “Soft errors in advanced computer systems,” IEEE Design & Test of Computers, vol. 22, no. 3, pp. 258–266, May. 2005.
[8] C.-Y. Chen and C.-W. Wu, “An adaptive code rate EDAC scheme for random access memory,” in Proc. Conf. Design, Automation, and Test in Europe (DATE), Mar. 2010, pp. 735–740.
[9] R. Datta and N. A. Touba, “Designing a fast and adaptive error correction scheme for increasing the lifetime of phase change memories,” in Proc. IEEE VLSI Test Symp. (VTS), May 2011, pp. 134–139.
[10] G. V. der Plas and et al., “Design issues and considerations for low-cost 3-D TSV IC technology,” IEEE Jour. of Solid-State Circuits, vol. 46, no. 1, pp. 293–307, Jan. 2011.
[11] R. S. Patti, “Three-dimensional integrated circuits and the future for system-on-chip design,” Proc. of the IEEE, vol. 94, no. 6, pp. 1214–1224, June 2006.
[12] P. G. Emma and E. Kursun, “Is 3D chip technology the next growth engine for performance improvement,” IBM Journal of Research and Development, vol. 52, no. 6, pp. 541–552, Nov. 2008.
[13] J.-Q. Lu, “3-D hyperintegration and packaging technologies for micro-nano systems,” Proc. of the IEEE, vol. 97, no. 1, pp. 18–30, Jan. 2009.
[14] V. F. Pavlidis and E. G. Friedman, “Interconnection-based design methodologies for three-dimensional integrated circuits,” Proc. of the IEEE, vol. 97, no. 1, pp. 123–140, Jan. 2009.
[15] M. Koyanagi, T. Fukushima, and T. Tanaka, “High-density through silicon vias for 3-D LSIs,” Proc. of the IEEE, vol. 97, no. 1, pp. 49–59, Jan. 2009.
[16] H.-H. S. Lee and K. Chakrabarty, “Test challenges for 3D integrated circuits,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 26–35, Oct. 2009.
[17] W. Zhang and T. Li, “Microarchitecture soft error vulnerability characterization and mitigation under 3D integration technology,” in IEEE/ACM Int’l Symp. on Microarchitecture, 2008, pp. 435–446.
[18] S. Reda, G. Smith, and L. Smith, “Maximizing the functional yield of wafer-to-wafer 3-D integration,” IEEE Trans. on VLSI Systems, vol. 17, no. 9, pp. 1357–1362, Sept. 2009.
[19] S. Borkar, “3D integration for energy efficient system design,” in Proc. IEEE/ACM Design Automation Conf. (DAC), June 2011, pp. 214–219.
[20] R. Chatterjee and et al., “Three dimensional chip stacking using a wafer-to-wafer integration,” in Proc. Int’l Test Conf. (ITC), June 2007, pp. 81–83.
[21] M. Taouil and S. Hamioui, “Layer redundancy based yield improvement for 3D wafer-to-wafer stacked memories,” in Proc. IEEE European Test Symp. (ETS), May 2011, pp. 45–50.
[22] H. Saito, M. Nakajima, T. Olamoto, Y. Yamada, A. Ohuchi, N. Iguchi, T. Sakamoto, K. Yamaguchi, and M. Mizuno, “A chip-stacked memory for on-chip SRAM-rich SoCs and processors,” IEEE Jour. of Solid-State Circuits, vol. 45, no. 1, pp. 15–22, Jan. 2010.
[23] M. B. Healy and et al, “Design and analysis of 3D-MAPS: a many-core 3D processor with stacked memory,” in Proc. IEEE/ACM Design Automation Conf. (DAC), Sept. 2010, pp. 1–4.
[24] Y. F. Tsai, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, “Three-dimensional cache design exploration using 3DCacti,” in Proc. IEEE Int’l Conf. on Computer Design (ICCD), Oct. 2005, pp. 2–5.
[25] R. Anigundi, H. Sun, J. Q. Lu, K. Rose, and T. Zhang, “Architecture design exploration of three-dimensional (3D) integrated DRAM,” in Proc. 10th Int’l Symp. on Quality Electronic Design (ISQED), Mar. 2009, pp. 86–90.
[26] JEDEC, “JEDEC wide I/O single data rate,” http://www.jedec.org/, Dec. 2011.
[27] J.-S. Kim and et al., “A 1.2V 12.8 GB/s 2Gb mobile wide-I/O DRAM with 4 x 128 I/Os using TSV based stacking,” IEEE Jour. of Solid-State Circuits, vol. 47, no. 1, pp. 107–116, Jan. 2012.
[28] M. Taouil, S. Hamdioui, K. Beenakker, and E. J. Marinissen, “Test cost analysis for 3D die to wafer stacking,” in IEEE Asian Test Symp. (ATS), 2010, pp. 435–441.
[29] J.-F. Li and C.-W. Wu, “Is 3D integration an opportunity or just a hype?” in Proc. Asia and South Pacific Design Automation Conf. (ASP-DAC), Jan. 2010, pp. 541–543.
[30] C.-W. Chou, Y.-J. Huang, and J.-F. Li, “Yield-enhancement techniques for 3D random access memories,” in IEEE Int’l Symp. on VLSI Design, Automation, Test (VLSI-DAT), April 2010, pp. 104–107.
[31] L. Jiang, R. Ye, and Q. Xu, “Yield enhancement for 3D-stacked memory by redundancy sharing across dies,” in Proc. IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), Nov. 2010, pp. 230–234.
[32] M. Taouil, S. Hamdioui, J. Verbree, and E. J. Marinissen, “On maximizing the compound yield for 3D wafer-to-wafer stacked ICs,” in Proc. Int’l Test Conf. (ITC), Nov. 2010, pp. 1–10.
[33] Y.-F. Chou, D.-M. Kwai, and C.-W. Wu, “Yield-enhancement by bad-die-recycling and stacking with through-silicon vias,” IEEE Trans. on VLSI Systems, vol. 19, no. 8, pp. 1346–1356, Aug. 2011.
[34] P. Leduca and et al., “Challenges for 3D IC integration: bonding quality and thermal management,” in Proc. IEEE Int’l Interconnect Technology Conf. (IITC), June 2007, pp. 210–212.
[35] J. Cong and Y. Zhang, “Thermal via planning for 3-D ICs,” in Proc. IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), 2005, pp. 745–752.
[36] H. J. Kim, W. C. Jeong, K. H. Koh, G. J. Jeong, J. H. Park, S. Y. Lee, J. H. Oh, I. H. Song, H. S. Jeong, and K. Kim, “A process integration of high-performance 64-Kb MRAM,” IEEE Trans. on Magnetics, vol. 39, no. 5, pp. 2851–2853, Sept. 2003.
[37] T. Sekiguchi, K. Ono, A. Kotabe, and Y. Yanagawa, “1-Tbyte/s 1-Gbit DRAM architecture using 3-D interconnect for high-throughput computing,” IEEE Jour. of Solid-State Circuits, vol. 46, no. 4, pp. 828–837, Apr. 2011.
[38] U. Kang and et al., “8Gb 3-D DDR3 DRAM using through-silicon-via technology,” IEEE Jour. of Solid-State Circuits, vol. 45, no. 1, pp. 111–119, Jan. 2010.
[39] L.-J. Chang, Y.-J. Huang, and J.-F. Li, “Area and reliability efficient ECC scheme for 3D RAMs,” in IEEE Int’l Symp. on VLSI Design, Automation, Test (VLSI-DAT), Apr. 2012, pp. 1–4.
[40] R. K. Venkatesan, S. Herr, and E. Rotenberg, “Reliability-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM,” in Proc. Int’l Symp. on High-Performance Computer Architecture (HPCA), Feb. 2006, pp. 155–165.
[41] S. Liu, K. Pattabiraman, T. Moscibroda, and B. G. Zorn, “Flikker: Saving DRAM refresh-power through critical data partitioning,” in Proc. Int’l Conf. on Architectural support for programming languages and operating systems (ASPLOS), Mar. 2011, pp. 213–224.
[42] C. L. Chen and M. Y. Hsiao, “Error-correcting codes for semiconductor memory applications: A state-of-the-art review,” IBM Journal of Research and Development, vol. 28, no. 2, pp. 124–134, Mar. 1984.
[43] S. Ghosh, S. Basu, and N. A. Touba, “Reducing power consumption in memory ECC checkers,” in Proc. Int’l Test Conf. (ITC), Oct. 2004, pp. 1322–1331.
[44] B. W. Hamming, “Error detecting and error correcting codes,” Bell System Tech. J., vol. 29, no. 2, pp. 147–160, Apr. 1950.
[45] M. Y. Hsiao, “A class of optimal minimum odd-weight-column SEC-DED codes,” IBM J. Research and Development, vol. 14, no. 4, pp. 395–401, July 1970.
[46] S. A. Elkind and D. P. Siewiorek, “Reliability and performance of error correcting memory and register arrays,” IEEE Trans. on Computers, vol. 10, pp. 920–927, Oct. 1980.
[47] M. Blaum, R. Goodman, and R. McEliece, “The reliability of single error protected computer memories,” IEEE Trans. on Computers, vol. 37, no. 1, pp. 114–119, Jan. 1988.
[48] A. M. Saleh, J. J. Serrano, and J. H. Patel, “Reliability of scrubbing recovery-techniques for memory systems,” IEEE Transactions on Reliability, vol. 39, no. 1, pp. 114–122, Apr. 1990.
[49] M. Y. Hsiao, D. C. Bossen, and R. T. Chien, “Orthogonal latin square codes,” IBM Journal of Research and Development, vol. 14, no. 4, pp. 390–394, Jul. 1970.
[50] E. J. Marinissen, “Testing TSV-based three-dimensional stacked ICs,” in Proc. Conf. Design, Automation, and Test in Europe (DATE), Mar. 2010, pp. 1689–1694.
[51] P.-Y. Chen, C.-W. Wu, and D.-M. Kwai, “On-chip TSV testing for 3D IC before bonding using sense amplification,” in IEEE Asian Test Symp. (ATS), Nov. 2009, pp. 450–455.
[52] ——, “On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding,” in Proc. IEEE VLSI Test Symp. (VTS), Apr. 2010, pp. 263–268.
[53] M. Jung, D. Z. Pan, and S. K. Lim, “Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs,” in Proc. IEEE/ACM Design Automation Conf. (DAC), 2012, pp. 317–326.
[54] Y.-J. Huang, J.-F. Li, J.-J. Chen, D.-M. Kwai, Y.-F. Chou, and C.-W. Wu, “A built-in self-test scheme for the post-bond test of TSV in 3D ICs,” in Proc. IEEE VLSI Test Symp. (VTS), May 2011, pp. 20–25.
[55] A.-C. Hsieh and T.-T. Hwang, “TSV redundancy: architecture and design issues in 3-D IC,” IEEE Trans. on VLSI Systems, vol. 20, no. 4, pp. 711–722, Apr. 2012.
[56] C.-T. Huang, C.-F. Wu, J.-F. Li, and C.-W. Wu, “Built-in redundancy analysis for memory yield improvement,” IEEE Trans. Reliability, vol. 52, no. 4, pp. 386–399, Dec. 2006.
[57] Y.-F. Chou, D.-M. Kwai, and C.-W. Wu, “Memory repair by die stacking with through silicon vias,” in Proc. IEEE Int’l Workshop on Memory Technology, Design and Testing (MTDT), Aug. 2009, pp. 53–58.
[58] R.-F. Huang, J.-F. Li, J.-C. Yeh, and C.-W. Wu, “Raisin: redundancy analysis algorithm simulation,” IEEE Design & Test of Computers, vol. 24, no. 4, pp. 386–396, July.-Aug. 2007.
[59] I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, “A low-overhead fault tolerance scheme for TSV-based 3D network on chip links,” in Proc. IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), Nov. 2008, pp. 598–602.
[60] S. Kannan, B. Kim, and B. Ahn, “Fault modeling and multi-tone dither scheme for testing 3D TSV defects,” Jour. of Electronic Testing: Theory and Applications, vol. 28, no. 1, pp. 39–51, Feb. 2012.
[61] K. Athikulwongse, A. Chakraborty, J.-S. Yang, D. Z. Pan, and S. K. Lim, “Stress-driven 3D-IC placement with TSV keep-out zone and regularity study,” in Proc. IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), Nov. 2010, pp. 669–674.
指導教授 李進福(Jin-Fu Li) 審核日期 2013-1-28
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明