博碩士論文 975201018 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:77 、訪客IP:3.145.183.243
姓名 周哲緯(Che-Wei Chou)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 用於三維積體電路之測試整合與良率提升技術
(Test Integration and Yield-Enhancement Techniques for 3-D ICs)
相關論文
★ 應用於三元內容定址記憶體之低功率設計與測試技術★ 用於隨機存取記憶體的接線驗證演算法
★ 用於降低系統晶片內測試資料之基礎矽智產★ 內容定址記憶體之鄰近區域樣型敏感瑕疵測試演算法
★ 內嵌式記憶體中位址及資料匯流排之串音瑕疵測試★ 用於系統晶片中單埠與多埠記憶體之自我修復技術
★ 用於修復嵌入式記憶體之基礎矽智產★ 自我修復記憶體之備份分析評估與驗證平台
★ 使用雙倍疊乘累加命中線之低功率三元內容定址記憶體設計★ 可自我測試且具成本效益之記憶體式快速傅利葉轉換處理器設計
★ 低功率與可自我修復之三元內容定址記憶體設計★ 多核心系統晶片之診斷方法
★ 應用於網路晶片上隨機存取記憶體測試及修復之基礎矽智產★ 應用於貪睡靜態記憶體之有效診斷與修復技術
★ 應用於內嵌式記憶體之高效率診斷性資料壓縮與可測性方案★ 應用於隨機存取記憶體之有效良率及可靠度提升技術
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   [檢視]  [下載]
  1. 本電子論文使用權限為同意立即開放。
  2. 已達開放權限電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。
  3. 請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。

摘要(中) 使用穿矽穿孔(through-Silicon-via,TSV)來垂直連接多層二維晶粒(Die)的三維技術是近來新興的積體電路設計技術之一。三維積體電路相較於現今的二維單晶片系統(system-on-chip,SOC)有許多的優點,如大幅縮短全域導線的長度、增進電路的效能與異質整合等等好處。然而,此三維積體電路設計技術目前仍然存在著許多挑戰,包含設計、製造、測試、良率、可靠度等。在三維積體電路要量產之前,這些挑戰都需要被克服。其中,三維積體電路的測試與良率是其中相當關鍵的問題與挑戰。因此,對三維積體電路而言,有效的測試與良率提升技術是非常重要的。
一個三維晶片通常是由多個被穿矽孔垂直連接的二維晶粒所組成的,由於這些二維晶粒可能來自於不同的來源,所以一個用來統合控管這三維晶片中的可測試性設計(design-for-test,DFT)的標準化測試控制介面是非常必須的。在論文的第一部分,我們針對邏輯跟記憶體晶粒提出了標準化的測試介面,並且這些介面可以用階層式的控制方法將其整合在一起。所提出之測試介面可以用在黏合前測試、黏合中測試、黏合後測試和最後測試階段,且這些測試介面最少僅需要四個測試腳位。再者,我們所提出的測試介面在執行電路板層級測試時是完全與IEEE 1149.1測試標準相容的。實驗結果顯示,我們所提出的階層式測試控制整合介面的面積在用於ITC’99的b19電路與TSMC 0.18μm的製程下,只有0.24%的額外面積消耗。此外,我們所提出之測試整合方法亦已實現在一個三維測試晶片上並驗證。
在論文的第二部分,我們針對JEDEC的寬輸入輸出動態隨機存取記憶體(wide I/O DRAM)提出了一高度可程式化的內建自我測試電路。寬輸入輸出動態隨機存取記憶體與一般動態隨機存取記憶體主要的差異在於最小的突發長度(burst length),寬輸入輸出動態隨機存取記憶體與一般動態隨機存取記憶體所能執行的最小突發長度分別是二和一,這使得使用現存的March測試演算法來測試寬輸入輸出動態隨機存取記憶體並不能達到100%的耦合錯誤(coupling faults)的錯誤覆蓋率(fault coverage),為此,我們提出了一個測試演算法來使得寬輸入輸出動態隨機存取記憶體的耦合錯誤的錯誤覆蓋率達到100%。此外,我們也提出了一重複利用測試腳位的方法來重複利用寬輸入輸出動態隨機存取記憶體已有的邊界掃描鍊的測試腳位來控制所提出之內建自我測試電路,所以使得有內建自我測試電路的DRAM晶粒的腳位數與無內建自我測試電路的DRAM晶粒的腳位數完全相同。最後,所提出的內建自我測試電路可以支援多種的March測試演算法與多種的記憶體配置組合。實驗結果顯示出了所提出之內建自我測試電路的面積消耗是相當小的,舉例來說,當應用在32-Gbit的寬輸入輸出動態隨機存取記憶體與使用TSMC 90nm的製程時,我們的內建自我測試電路僅僅只有0.32%的面積消耗。
在論文的第三部分,我們針對三維記憶體提出一完整的良率提升技術,其中包含了提出一晶粒間備份元件的架構來提升三維記憶體的良率;並針對使用不同的黏合技術且擁有晶粒間備份元件的三維記憶體提出了三種堆疊的流程;最後針對三維記憶體的修復議題提出了一內建自我修復電路的架構,其中的內建備份元件分析模組可以有效地分析並分配晶粒間的備份元件。模擬結果顯示出我們所提出之良率提升技術可以有效地增進三維記憶體的良率。我們模擬了10片晶圓(wafer),每片晶圓上有4350個記憶體晶粒,每個記憶體晶粒的容量是512K bytes,且每個記憶體晶粒有兩個記憶體區塊。每個記憶體區塊有256K bytes且每個記憶體區塊各有兩個備份列元件與兩個晶粒間備份行元件。並假設錯誤分布為60%的單一位元錯誤(single cell fault)、20%的錯誤列(faulty row)與20%的錯誤行(faulty column)分布下,當採用晶粒對晶粒(die-to-die)、晶粒對晶圓(die-to-wafer)與晶圓對晶圓(wafer-to-wafer)的黏合技術時,使用我們提出的良率提升技術分別可以得到9.588%、9.584%與14.462%的三維記憶體良率的改進。應用在2M-bit的記憶體晶粒時,提出之內建自我修復電路面積消耗約1.77%,所以提出之內建自我修復電路面積消耗是相當小的
摘要(英) Three-dimensional (3-D) integration technology using through-silicon via (TSV) is one emerging integrated circuit (IC) technology. The 3-D integration technology offers many advantages over the 2-D integration technology, such as power reduction, performance improvement,
heterogeneous integration, etc. However, many challenges should be overcome before the volume production of 3-D ICs become possible. Among these challenges, test and yield are two key challenges. Effective test and yield-enhancement techniques thus are important for 3-D ICs.
A 3-D IC consists of multiple dies connected vertically by TSVs. Since the dies may come from different sources, a standardized test control interface for integrating the designfor-testability (DFT) circuits in each die thus is imperative. In the first part of this thesis, we propose test interfaces for the logic and memory dies, and these test interfaces can be integrated in a hierarchical method. The test interfaces can support the prebond, midbond, postbond, and final tests. The minimum number of required test pads of the proposed test interfaces is only four. Furthermore, the test interfaces are compatible with the IEEE 1149.1 standard for the board-level testing. Simulation results show that the area overhead of the
proposed test interfaces is very small, which is about 0.24% for the ITC’99 b19 benchmark using TSMC 0.18μm CMOS technology. A 3-D test chip is also implemented to emonstrate the proposed test integration methodology.
In the second part of this thesis, we propose a programmable built-in self-test (BIST)
scheme for JEDEC wide I/O DRAMs. One main difference between a wide I/O DRAM and a general DRAM is the minimum burst length. The minimum burst length of a wide I/O DRAM and a general DRAM is 2 and 1, respectively. That causes that using existing March test algorithms to test wide I/O DRAM cannot achieve 100% fault coverage for coupling faults. A test algorithm thus is proposed to achieve 100% fault coverage of coupling faults as well. Furthermore, a test pin reusing methodology is proposed to reuse the test pins of boundary scan of the wide I/O DRAM to control the BIST circuit. Thus, the number of pins of the DRAM die with BIST is the same as that of the DRAM die without BIST. inally, the programmability of the proposed BIST can support various March test algorithms and various memory configurations. Experimental results show that the area cost of the BIST is very small, which is about 0.32% for 32G-bit DRAM using TSMC 90nm CMOS technology.
In the third part of this thesis, we present yield-enhancement techniques for 3-D RAMs. An inter-die redundancy scheme is proposed to improve the yield of 3-D RAMs. Three stacking flows with respect to different bonding technologies for 3-D RAMs with inter-die redundancy are proposed as well. Finally, a built-in self-repair (BISR) scheme is also proposed to perform the 3-D RAM repair. Its built-in redundancy analysis (BIRA) module
can allocate the inter-die redundancies efficiently. Simulation results show that the proposed yield-enhancement techniques can drastically improve the final yield of 3-D RAMs. We simulated 10 wafers and each wafer has 4350 RAM dies. The size of a RAM die is 512K bytes, and each RAM die has two blocks. The size of a block is 256K bytes and each block has two spare rows and two inter-die spare columns. Also, the fault distribution of the simulated RAM dies
with 60% single-cell faults, 20% faulty rows, and 20% faulty columns is assumed. The yield improvement of 3-D RAMs with proposed yield-enhancement techniques is 9.588%, 9.584%, and 14.462% by using die-to-die, die-to-wafer, and wafer-to-wafer bonding techniques. And the area overhead of the proposed BISR scheme in a RAM die is small, which is about 1.77% for a 2M-bit RAM die.
關鍵字(中) ★ 三維積體電路
★ 測試
★ 良率
關鍵字(英) ★ 3-D IC
★ Test
★ Yield
論文目次 1 Introduction 1
1.1 3-D Integration Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.1.1 TSV Techniques and Bonding Techniques . . . . . . . . . . . . . . . 1
1.1.2 3-D IC Architectures . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.1.3 3-D Random Access Memories (RAMs) . . . . . . . . . . . . . . . . . 3
1.1.4 Testing of 3-D ICs . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.2 Test and Yield-Enhancement Techniques for 3-D ICs . . . . . . . . . . . . . 7
1.2.1 Existing Test Techniques of 3-D ICs . . . . . . . . . . . . . . . . . . . 7
1.2.2 Yield-Enhancement Techniques for 3-D RAMs . . . . . . . . . . . . . 10
1.3 Thesis Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
1.3.1 Hierarchical Test Integration Methodology for 3-D Integrated Circuits 11
1.3.2 A BIST Scheme for JEDEC Wide I/O DRAM . . . . . . . . . . . . . 12
1.3.3 A BISR Scheme for 3-D RAMs with Inter-die Redundancy . . . . . . 12
1.4 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2 Hierarchical Test Integration Methodology for 3-D Integrated Circuits 14
2.1 Hierarchical Test Integration Method . . . . . . . . . . . . . . . . . . . . . . 15
2.1.1 Definitions of 3-D ICs . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.1.2 Proposed Test Interfaces for Logic Dies . . . . . . . . . . . . . . . . . 16
2.1.3 Proposed Test Interface for Memory Dies . . . . . . . . . . . . . . . . 18
2.1.4 Proposed Hierarchical Test Integration Architecture . . . . . . . . . . 19
2.1.5 Test Procedure for Hierarchical Test Integration Method . . . . . . . 25
2.1.6 Defined Test Instructions . . . . . . . . . . . . . . . . . . . . . . . . . 27
2.1.7 Test Operation Flows . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
2.2 Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
2.3 3-D Test Chip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
2.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
3 A Built-In Self-Test Scheme for JEDEC Wide I/O DRAM 38
3.1 Test Issues of JEDEC Wide I/O DRAM . . . . . . . . . . . . . . . . . . . . 39
3.2 Proposed BIST scheme for JEDEC Wide I/O DRAM . . . . . . . . . . . . . 41
3.2.1 Pin-Reusing Methodology . . . . . . . . . . . . . . . . . . . . . . . . 41
3.2.2 Testing of Wide I/O DRAM Arrays . . . . . . . . . . . . . . . . . . . 44
3.2.3 Programmability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
3.2.4 Proposed BIST Architecture . . . . . . . . . . . . . . . . . . . . . . . 56
3.3 Experimental and Simulation Results . . . . . . . . . . . . . . . . . . . . . . 60
3.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
4 A Built-In Self-Repair Scheme for 3-D RAMs with Inter-die Redundancy 67
4.1 Yield-Enhancement Strategies for 3-D Memories . . . . . . . . . . . . . . . . 68
4.1.1 3-D RAMs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
4.1.2 Redundancy Technique . . . . . . . . . . . . . . . . . . . . . . . . . . 68
4.1.3 Stacking Strategy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
4.2 Proposed Yield-Enhancement Techniques for 3-D RAMs . . . . . . . . . . . 70
4.2.1 Inter-die Redundancy Technique . . . . . . . . . . . . . . . . . . . . . 70
4.2.2 Die Classification and Impact of Bonding Technologies . . . . . . . . 71
4.2.3 Proposed Stacking Strategies . . . . . . . . . . . . . . . . . . . . . . 74
4.3 Proposed Built-In Self-Repair Scheme . . . . . . . . . . . . . . . . . . . . . . 78
4.3.1 Test and Repair Flow . . . . . . . . . . . . . . . . . . . . . . . . . . 78
4.3.2 Redundancy Analysis Algorithm . . . . . . . . . . . . . . . . . . . . . 80
4.3.3 Proposed BISR Architecture . . . . . . . . . . . . . . . . . . . . . . . 82
4.4 Experimental Results and Analysis . . . . . . . . . . . . . . . . . . . . . . . 86
4.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
5 Conclusion and Future Work 93
5.1 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
5.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
Bibliography 96
參考文獻 [1] S. Deutsch, B. Keller, V. Chickermane, S. Mukherjee, N. Sood, S. K. Goel, J.-J. Chen, A. Mehta, F. Lee, and E. J. Marinissen, “DfT architecture and ATPG for interconnect
tests of JEDEC wide I/O memory-on-logic die stacks,” in Proc. Int’l Test Conf. (ITC), Nov. 2012, Paper 12.4, pp. 1–10.
[2] JEDEC, “JEDEC wide I/O single data rate,” http://www.jedec.org/, Dec. 2011.
[3] E. J. Marinissen, “Challenges and emerging solutions in testing TSV-based 2 1/2D- and 3D-stacked ICs,” in Proc. Conf. Design, Automation, and Test in Europe (DATE), Mar.
2012, pp. 1277–1282.
[4] E. J. Marinissen, J. Verbree, and M. Konijnenburg, “A structured and scalable test access architecture for TSV-based 3D stacked ICs,” in Proc. IEEE VLSI Test Symp. (VTS), May 2010, pp. 269–274.
[5] E. J. Marinissen, C. chuan Chi, J. Verbree, and M. Konijnenburg, “3D DfT architecture for pre-bond and post-bond testing,” in IEEE Int’l Conf. on 3D System Integration
(3DIC), Munich, Nov. 2010, pp. 1–8.
[6] C.-C. Chi, E. J. Marinissen, S. K. Wu, and C.-W. Wu, “DfT architecture for 3D-SICs with multiple towers,” in European Test, Mar. 2011, pp. 51–56.
[7] C.-F. Wu, C.-T. Huang, K.-L. Cheng, and C.-W. Wu, “Simulation-based test algorithm generation for random access memories,” in Proc. IEEE VLSI Test Symp. (VTS), Montreal, Apr. 2000, pp. 291–296.
[8] J.-S. Kim and et al., “A 1.2V 12.8 GB/s 2Gb mobile wird-I/O DRAM with 4 x 128 I/Os using TSV based stacking,” IEEE Jour. of Solid-State Circuits, vol. 47, no. 1, pp. 107–116, Jan. 2012.
[9] C.-W. Chou, Y.-J. Huang, and J.-F. Li, “Yield-enhancement techniques for 3D random access memories,” in Proc. IEEE International Symp. on VLSI Design, Automation and Test (VLSI-DAT), May 2010, pp. 104–107.
[10] T.-W. Tseng, J.-F. Li, and C.-C. Hsu, “ReBISR: A reconfigurable built-in self-repair scheme for random access memories in SOCs,” IEEE Trans. on VLSI Systems, vol. 18,
no. 6, pp. 921–932, June 2010.
[11] C.-F. Wu, C.-T. Huang, and C.-W. Wu, “RAMSES: a fast memory fault simulator,” in Proc. IEEE Int’l Symp. on Defect and Fault Tolerance in VLSI Systems (DFT), Albuquerque, Nov. 1999, pp. 165–173.
[12] Y. Xie, G. H. Loh, B. Black, and K. Bernstein, “Design space exploration for 3D architecture,” ACM Journal on Emerging Technologies in Computing Systems, vol. 2, no. 2, pp. 65–103, Apr. 2006.
[13] J.-Q. Lu, “3-D hyperintegration and packaging technologies for micronano systems,” Proceedings of the IEEE, vol. 97, no. 1, pp. 18–30, Jan. 2009.
[14] M. Koyanagi, T. Fukushima, and T. Tanaka, “High-density through silicon vias for 3-D LSIs,” Proceedings of the IEEE, vol. 97, no. 1, pp. 49–59, Jan. 2009.
[15] M. Motoyoshi, “Through-silicon via (TSV),” Proceedings of the IEEE, vol. 97, no. 1, pp. 43–48, Jan. 2009.
[16] V. F. Pavlidis and E. G. Friedman, “Interconnect-based design methodologies for threedimensional integrated circuits,” Proceedings of the IEEE, vol. 97, no. 1, pp. 123–140, Jan. 2009.
[17] P. Marchal, B. Bougard, G. Katti, M. Stucchi, W. Dehaene, A. Papanikolaou, D. Verkest, B. Sweinnena, and E. Beyne, “3-D technology assessment: path-finding the technology/ design sweet-spot,” Proceedings of the IEEE, vol. 97, no. 1, pp. 96–106, Jan. 2009.
[18] T. Zhang, R. Micheloni, G. Zhang, Z. R. Huang, and J. J.-Q. Lu, “3-D data storage, power delivery, and RF/optical transceiver–case studies of 3-D integration from system
design perspectives,” Proceedings of the IEEE, vol. 97, no. 1, pp. 161–174, Jan. 2009.
[19] J. U. Knickerbocker and et al., “3-D silicon integration and silicon packaging technology using silicon through-vias,” IEEE Jour. of Solid-State Circuits, vol. 41, no. 8, pp. 1718–1725, Aug. 2006.
[20] C. Ferri, S. Reda, and R. I. Bahar, “Parametric yield management for 3D ICs: Models and strategies for improvement,” ACM Journal on Emerging Technologies in Computing Systems(JETC), vol. 4, no. 4, pp. 19:1–19:22, Oct. 2008.
[21] R. Chatterjee and et al., “Three dimensional chip stacking using a wafer-to-wafer integration,” in Proc. IEEE Int’l Interconnect Technology Conf., June 2007, pp. 81–83.
[22] S. Reda, G. Smith, and L. Smith, “Maximizing the functional yield of wafer-to-wafer 3-D integration,” IEEE Trans. on VLSI Systems, vol. 17, no. 9, pp. 1357–1362, Sept.
2009.
[23] P. Jacob, A. Zia, O. Erdogan, P. M. Belemjian, J.-W. Kim, M. Chu, R. P. Kraft, J. F. Mcdonald, and K. Bernstein, “Mitigatin memory wall effects in high-clock-rate and
multicore CMOS 3-D processor memory stacks,” Proc. of the IEEE, vol. 97, no. 1, pp. 108–122, Jan. 2009.
[24] S. Borkar, “3D integration for energy efficient system design,” in Proc. IEEE/ACM Design Automation Conf. (DAC), June 2011, pp. 214–219.
[25] U. Kang and et al., “8Gb 3-D DDR3 DRAM using through-silicon-via technology,” IEEE Jour. of Solid-State Circuits, vol. 45, no. 1, pp. 111–119, Jan. 2010.
[26] A. K. T. Sekiguchi, K. Ono and Y. Yanagawa, “1-Tbyte/s 1-Gbit DRAM architecture using 3-D interconnect for high-throughput computing,” IEEE Jour. of Solid-State Circuits, vol. 46, no. 4, pp. 828–837, Apr. 2011.
[27] Micron and Samsung, “Hybrid memory cube,” http://www.hybridmemorycube.org/, 2011.
[28] E. J. Marinissen and Y. Zorian, “Testing 3D chips containing through-silicon vias,” in Proc. Int’l Test Conf. (ITC), Nov. 2009, ET1.1, pp. 1–11.
[29] E. J. Marinissen, “Testing TSV-based three-dimensional stacked ICs,” in Proc. Conf. Design, Automation, and Test in Europe (DATE), Mar. 2010, pp. 1689–1694.
[30] X. Wu, P. Falkenstern, and Y. Xie, “Scan chain design for three-dimensional integrated circuits (3D ICs),” in Proc. IEEE Int’l Conf. on Computer Design (ICCD), Oct. 2007,
pp. 208–214.
[31] X. Wu, Y. Chen, K. Chakrabarty, and Y. Xie, “Test-access mechanism optimization for core-based three-dimensional SOCs,” in Proc. IEEE Int’l Conf. on Computer Design (ICCD), Oct. 2008, pp. 212–218.
[32] B. Noia, K. Chakrabarty, and Y. Xie, “Test-wrapper optimization for embedded cores in TSV-based three-dimensional SOCs,” in Proc. IEEE Int’l Conf. on Computer Design (ICCD), Oct. 2009, pp. 70–77.
[33] U. Chandran and D. Zhao, “Thermal driven test access routing in hyper-interconnected three-dimensional system-on-chip,” in Proc. IEEE Int’l Symp. on Defect and Fault Tolerance in VLSI Systems (DFT), Oct. 2009, pp. 410–418.
[34] L. Jiang, Q. Xu, K. Chakrabarty, and T. M. Mak, “Layout-driven test architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint,” in Proc.
IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), Nov. 2009, pp. 191–196.
[35] D. L. Lewis and H.-H. S. Lee, “Testing circuit-partitioned 3D IC design,” in IEEE Computer Society Annual Symposium on VLSI, May 2009, pp. 139–133.
[36] Y.-J. Huang and J.-F. Li, “Testability exploration of 3-D RAMs and CAMs,” in Proc. IEEE Asian Test Symp. (ATS), Nov. 2009, pp. 397–402.
[37] P.-Y. Chen, C.-W.Wu, and D.-M. Kwai, “On-chip TSV testing for 3D IC before bonding using sense amplification,” in IEEE Asian Test Symp. (ATS), Taichun, Nov. 2009, pp. 450–455.
[38] ——, “On-chip TSV testing of blind and open-sleeve TSVs for 3D IC before bonding,” in Proc. IEEE VLSI Test Symp. (VTS), Santa Cruz, Apr. 2010, pp. 263–268.
[39] C.-W. Chou, J.-F. Li, J.-J. Chen, D.-M. Kwai, Y.-F. Chou, and C.-W. Wu, “A test integration methodology for 3D integrated circuits,” in Proc. IEEE Asian Test Symp. (ATS), Dec. 2010, pp. 377–382.
[40] Y. Cheng, L. Zhang, Y. Han, J. Liu, and X. Li, “Wrapper chain design for testing TSVs minimization in circuit-partitioned 3D SoC,” in IEEE Asian Test Symp. (ATS), Dec.
2011, pp. 181–186.
[41] D. L. Lewis, S. Panth, X. Zhao, S. K. Lim, and H.-H. S. Lee, “Designing 3D test wrappers for pre-bond and post-bond test of 3D embedded cores,” in Proc. IEEE Custom
Integrated Circuits Conf. (CICC), Nov. 2011, pp. 90–95.
[42] Y.-J. Huang, J.-F. Li, J.-J. Chen, D.-M. Kwai, Y.-F. Chou, and C.-W. Wu, “A built-in self-test scheme for the post-bond test of TSV in 3D ICs,” in Proc. IEEE VLSI Test
Symp. (VTS), May 2011, pp. 20–25.
[43] Xilinx, “Technical viability of stacked silicon interconnect technology,” http://www.xilinx.com/, 2010.
[44] ——, “Xilinx stacked silicon interconnect technology delivers breakthrough fpga capacity, bindwidth, and power efficiency,” http://www.xilinx.com/, 2010.
[45] P. Garrou, C. Bower, and P. Ramm, Handbook of 3D integration: technology and applications of 3D integrated circuits, 1st ed. Wiley-VCH, 2008.
[46] J.-F. Li and C.-W. Wu, “Is 3D integration an opportunity or just a hype?” in Proc. IEEE Asia and South Pacific Design Automation Conf. (ASP-DAC), Jan. 2010, pp.
541–543.
[47] H.-H. S. Lee and K. Chakrabarty, “Test challenges for 3D integrated circuits,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 26–35, Sept.-Oct. 2009.
[48] L. Jiang, Y. Liu, L. Duan, Y. Xie, and Q. Xu, “Modeling TSV open defects in 3D-stacked DRAM,” in Proc. Int’l Test Conf. (ITC), Oct. 2010, Paper 6.1, pp. 1–9.
[49] P.-Y. Chen, C.-W. Wu, and D.-M. Kwai, “On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding,” in Proc. IEEE VLSI Test Symp. (VTS), April 2010, pp. 263–268.
[50] J.-W. You, S.-Y. Huang, D.-M. Kwai, Y.-F. Chou, and C.-W. Wu, “Performance characterization of tsv in 3d ic via sensitivity analysis,” in IEEE Asian Test Symp. (ATS),
2010, pp. 389–394.
[51] P. Jacob and et al., “Mitigating memory wall effects in high-clock-rate and multicore CMOS 3-D processor memory stacks,” Proceedings of the IEEE, vol. 97, no. 1, pp.
108–122, Jan. 2009.
[52] Y.-J. Hu, J.-F. Li, and Y.-J. Huang, “3-D content addressable memory architectures,” in Proc. IEEE Int’l Workshop on Memory Technology, Design and Testing (MTDT),
Aug. 2009, pp. 59–64.
[53] Tezzaron Semiconductor, “3D stacked dram/bi-star overview,” http://www.tezzaron.com/, 2008.
[54] I. Kim, Y. Zorian, G. Komoriya, H. Pham, F. P. Higgins, and J. L. Lweandowski, “Built in self repair for embedded high density SRAM,” in Proc. Int’l Test Conf. (ITC), Oct.
1998, pp. 1112–1119.
[55] V. Schober, S. Paul, and O. Picot, “Memory built-in self-repair using redundant words,” in Proc. Int’l Test Conf. (ITC), Baltimore, Oct. 2001, pp. 995–1001.
[56] S. Nakahara, K. Higeta, M. Kohno, T. Kawamura, and K. Kakitani, “Built-in self-test for GHz embedded SRAMs using flexible pattern generator and new repair algorithm,”
in Proc. Int’l Test Conf. (ITC), 1999, pp. 301–310.
[57] D. K. Bhavsar, “An algorithm for row-column self-repair of RAMs and its implementation in the Alpha 21264,” in Proc. Int’l Test Conf. (ITC), Atlantic City, Sept. 1999, pp. 311–318.
[58] T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka, “A built-in self-repair analyzer (CRESTA) for embedded DRAMs,” in Proc. Int’l Test Conf. (ITC), 2000, pp. 567–574.
[59] Y. Zorian, “Embedded infrastructure IP for SOC yield improvement,” in Proc. IEEE/ACM Design Automation Conf. (DAC), New Orleans, June 2002, pp. 709–712.
[60] C.-T. Huang, C.-F. Wu, J.-F. Li, and C.-W. Wu, “Built-in redundancy analysis for memory yield improvement,” IEEE Trans. on Reliability, vol. 52, no. 4, pp. 386–399, Dec. 2003.
[61] J.-F. Li, J.-C. Yeh, R.-F. Huang, C.-W. Wu, P.-Y. Tsai, A. Hsu, and E. Chow, “A built-in self-repair scheme for semiconductor memories with 2-D redundancy,” in Proc.
Int’l Test Conf. (ITC), Charlotte, Sept. 2003, pp. 393–402.
[62] P. Ohler, S. Hellebrand, and H.-J. Wunderlich, “An integrated built-in self-test and repair approach for memories with 2D redundancy,” in Proc. IEEE European Test Symposium (ETS), Freiburg, May 2007, pp. 91–99.
[63] T.-W. Tseng, Y.-J. Huang, and J.-F. Li, “DABISR: a defect-aware built-in self-repair scheme for single/multi-port RAMs in SOCs,” IEEE Trans. on Computer-Aided Design
of Integrated Circuits and Systems, vol. 29, no. 10, pp. 1628–1639, Oct. 2010.
[64] J.-F. Li, T.-W. Tseng, and C.-S. Hou, “Reliability-enhancement and self-repair schemes for SRAMs with static and dynamic faults,” IEEE Trans. on VLSI Systems, vol. 18,
no. 9, pp. 1361–1366, Sept 2010.
[65] ——, “A built-in method to repair SoC RAMs in parallel,” IEEE Design & Test of Computers, vol. 27, no. 6, pp. 46–57, Nov.-Dec. 2010.
[66] IEEE, IEEE 1149.1 Standard Test Access Port and Boundary-Scan Architecture. Piscataway: IEEE Standards Department, May 1990.
[67] F. de Jong and A. Biewenga, “SiP-TAP: JTAG for SiP,” in Proc. Int’l Test Conf. (ITC), Oct. 2006 Paper 14.3, pp. 1–10.
[68] A. J. van de Goor, Testing Semiconductor Memories: Theory and Practice. Gouda, The Netherlands: ComTex Publishing, 1998.
[69] ——, “Using march tests to test SRAMs,” IEEE Design & Test of Computers, vol. 10, no. 1, pp. 8–14, Mar. 1993.
[70] Y.-C. Yu, C.-W. Chou, J.-F. Li, C.-Y. Lo, D.-M. Kwai, Y.-F. Chou, and C.-W. Wu, “A built-in self-test scheme for 3D RAMs,” in Proc. Int’l Test Conf. (ITC), Anaheim,
Nov. 2012, Paper 14.4, pp. 1–9.
[71] L. Jiang, R. Ye, and Q. Xu, “Yield enhancement for 3D-stacked memory by redundancy sharing across dies,” in Proc. IEEE/ACM Int’l Conf. on Computer-Aided Design (ICCAD), Nov. 2010, pp. 230–234.
[72] J. Verbree, E. J. Marinissen, P. Roussel, and D. Velenis, “On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking,” in Proc. IEEE European Test Symposium (ETS), Mar. 2010, pp. 36–41.
[73] Y.-F. Tsai, F. Wang, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, “Design space exploration for 3D cache,” IEEE Trans. on VLSI Systems, vol. 16, no. 4, pp. 444–455, Apr.
2008.
[74] A. Ohba and et al., “A 7-ns 1-Mb BiCMOS ECL SRAM with shift redundancy,” IEEE Jour. of Solid-State Circuits, vol. 26, no. 4, pp. 507–512, April 1991.
[75] J. R. Day, “A fault-driven, comprehensive redundancy algorithm,” in IEEE Design & Test of Computers, vol. 2, June 1985, pp. 35–44.
[76] S.-Y. Kuo and W. K. Fuchs, “Efficient spare allocation in reconfigurable arrays,” IEEE Design & Test of Computers, vol. 4, no. 1, pp. 24–31, Feb. 1987.
[77] M. Tarr, D. Boudreau, and R. Murphy, “Defect analysis system speeds test and repair of redundant memories,” Electronics, pp. 175–179, Jan. 12 1984.
[78] D. K. de Vries, “Investigation of gross die per wafer formulas,” IEEE Trans. on Semiconductor Manufacturing, vol. 18, no. 1, pp. 136–139, Feb. 2005.
[79] G. Katti, M. Stucchi, K. D. Meyer, and W. Dehaene, “Electrical modeling and characterization of through silicon via for three-diamensional ICs,” IEEE Trans. on Electron
Devices, vol. 57, no. 1, pp. 256–262, Jan. 2010.
指導教授 李進福(Jin-Fu Li) 審核日期 2013-8-27
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明