參考文獻 |
[1]S. Yu and P. Y. Chen, “Emerging memory technologies,” IEEE Solid-State Circuits Magazine, vol. 8, no. 2, pp. 43–56, 2016.
[2]H.-S. P. Wong, H. Y. Lee, S. Yu, Y. S. Chen, Y. Wu, P. S. Chen, B. Lee, F. Chen, and M. J. Tsai, “Metal–oxide RRAM,” Proceedings of the IEEE, vol. 100, no. 6, pp. 1951–1970, 2012.
[3]Y. Y. Chen, B. Govoreanu, L. Goux, R. Degraeve, A. Fantini, G. Kar, D. Wouters, G. Groeseneken, J. Kittl, M. Jurczak, and L. Altimime, “Balancing SET/RESET pulse for > 1010 endurance in HfO2/Hf 1T1R bipolar RRAM,” IEEE Transactions on Electron Devices, vol. 59, no. 12, pp. 3243–3249, 2012.
[4]Y. Y. Chen, M. Komura, R. Degraeve, B. Govoreanu, L. Goux, A. Fantini, N. Raghavan,
S. Clima, L. Zhang, A. Belmonte, A. Redolf, G. Kar, G. Groeseneken, D. Wouters, and M. Jurczak, “Improvement of data retention in HfO2/Hf 1T1R RRAM cell under low operating current,” in Proceedings of IEEE International Electron Devices Meeting, 2013, pp. 252–255.
[5]S. Hamdioui, M. Fieback, S. Nagarajan, and M. Taouil, “Testing computation-in-memory architectures based on emerging memories,” in Proceedings of IEEE International Test Conference, 2019, pp. 1–10.
[6]N. Haron and S. Hamdioui, “On defect oriented testing for hybrid CMOS/memristor memory,” in Proceedings of Asian Test Symposium, 2011, pp. 353–358.
[7]C. Nauenheim, C. Kügeler, A. Rüdiger, R. Waser, A. Flocke, and T. Noll, “Nano-crossbar arrays for nonvolatile resistiveRAM (RRAM) applications,” in Proceedings of IEEE Conference on Nanotechnology, 2008, pp. 464–467.
[8]R. Aluguri and T. Y. Tseng, “Notice of violation of IEEE publication principles: Overview of selector devices for 3-D stackable cross point RRAM arrays,” IEEE Journal of the Electron Devices Society, vol. 4, no. 5, pp. 294–306, 2016.
[9]C. Zambelli, A. Grossi, P. Olivo, C. Walczyk, and C. Wenger, “RRAM reliability/performance characterization through array architectures investigations,” in Proceedings of IEEE Computer Society Annual Symposium on VLSI, 2015, pp. 327–332.
[10]S. Kannan, R. Karri, and O. Sinanoglu, “Sneak path testing and fault modeling for multilevel memristor-based memories,” in Proceedings of International Conference on Computer Design (ICCD), 2013, pp. 215–220.
[11]C. Y. Chen, H. C. Shih, C. W. Wu, C. H. Lin, P. F. Chiu, S. S. Sheu, and F. Chen, “RRAM defect modeling and failure analysis based on March test and a novel squeeze-search scheme,” IEEE Transactions on Computers, vol. 64, no. 1, pp. 180–190, 2015.
[12]A. Agrawal, A. Jaiswal, C. Lee, and K. Roy, “X-SRAM: Enabling in-memory boolean computations in CMOS static random access memories,” IEEE Transactions on Circuits and System I: Regular Papers, vol. 65, no. 12, pp. 4219–4232, 2018.
[13]A. Jaiswal, I. Chakraborty, A. Agrawal, and K. Roy, “8T SRAM cell as a multibit dot-product engine for beyond von Neumann computing,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 11, pp. 2556–2567, 2019.
[14]C. Merkel, R. Hasan, N. Soures, D. Kudithipudi, T. Taha, S. Agarwal, and M. Marinella, “Neuromemristive systems: Boosting efficiency through brain-inspired computing,” IEEE Computer, vol. 49, no. 10, pp. 56–64, 2016.
[15]Y. Zhang, L. Xu, Q. Dong, J. Wang, D. Blaauw, and D. Sylvester, “Recryptor: A reconfigurable cryptographic Cortex-M0 processor with in-memory and near-memory computing for IoT security,” IEEE Journal of Solid-State Circuits, vol. 53, no. 4, pp. 995–1005, 2018.
[16]W. Kang, H. Wang, Z. Wang, Y. Zhang, and W. Zhao, “In-memory processing paradigm for bitwise logic operations in STT–MRAM,” IEEE Transactions on Magnetics, vol. 53, no. 11,
pp. 1–4, 2017.
[17]S. Jain, A. Ranjan, K. Roy, and A. Raghunathan, “Computing in memory with spin-transfer torque magnetic RAM,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 3, pp. 470–483, 2018.
[18]H. C. Chen, J. F. Li, C. L. Hsu, and C. T. Sun, “Configurable 8T SRAM for enbling in-memory computing,” in Proceedings of International Conference on Communication Engineering and Technology (ICCET), 2019, pp. 139–142.
[19]S. Kvatinsky, G. Satat, N. Wald, E. Friedman, A. Kolodny, and U. Weiser, “Memristor-based material implication (IMPLY) logic: Design principles and methodologies,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no. 10, pp. 2054–2066, 2014.
[20]S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, E. Friedman, A. Kolodny, and U. Weiser, “MAGIC—memristor-aided logic,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 61, no. 11, pp. 895–899, 2014.
[21]S. Gupta, M. Imani, and T. Rosing, “FELIX: Fast and energy-efficient logic in memory,” in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018, pp. 1–7.
[22]S. Li, C. Xu, Q. Zou1, J. Zhao, Y. Lu, and Y. Xie, “Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories,” in Proceedings of Annual Design Automation Conference, 2016, pp. 1–6.
[23]P. Chi, S. Li, C. Xu, T. Zhang, J. Zhao, Y. Liu, Y. Wang, and Y. Xie, “PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory,” in Proceedings of ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA), 2016, pp. 27–39.
[24]L. Xie, H. Nguyen, J. Yu, A. Kaichouhi, M. Taouil, M. AlFailakawi, and S. Hamdioui, “Scouting logic: A novel memristor-based logic design for resistive computing,” in Proceedings of IEEE Computer Society Annual Symposium on VLSI, 2017, pp. 176–181.
[25]J. Yu, H. Nguyen, M. A. Lebdeh, M. Taouil, and S. Hamdioui, “Enhanced scouting logic: A robust memristive logic design scheme,” in Proceedings of IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), 2019, pp. 1–6.
[26]T. L. Tsai, J. F. Li, C. L. Hsu, and C. T. Sun, “Testing of in-memory-computing 8T SRAMs,” in Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2019, pp. 1–4.
[27]J. F. Li, T. L. Tsai, C. L. Hsu, and C. T. Sun, “Testing of configurable 8T SRAMs for in-memory computing,” in Proceedings of IEEE 29th Asian Test Symposium (ATS), 2020, pp. 1–5.
[28]T. L. Tsai, J. F. Li, C. L. Hsu, and C. T. Sun, “Testing of in-memory-computing memories with 8T SRAMs,” Microelectronics Reliability, vol. 123, 2021.
[29]S. Kannan, J. Rajendran, R. Karri, and O. Sinanoglu, “Sneak-path testing of memristor-based memories,” in Proceedings of International Conference on VLSI Design, 2013, pp. 386–391.
[30]S. Hamdioui, M. Taouil, and N. Z. Haron, “Testing open defects in memristor-based memories,” IEEE Transactions on Computers, vol. 64, no. 1, pp. 247–259, 2015.
[31]O. Ginez, J.-M. Portal, and C. Muller, “Design and test challenges in resistive switching RAM (ReRAM): An electrical model for defect injections,” in Proceedings of European Test Symposium (ETS), 2009, pp. 61–66.
[32]N. Z. Haron and S. Hamdioui, “DfT schemes for resistive open defects in RRAMs,” in Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), 2012,
pp. 799–804.
[33]P. Liu, Z. You, J. Wu, B. Liu, Y. Han, and K. Chakrabarty, “Fault modeling and efficient testing of memristor-based memory,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 11, pp. 4444–4455, 2021.
[34]M. Fieback, G. C. Medeiros, A. Gebregiorgis, H. Aziza, M. Taouil, and S. Hamdioui, “Intermittent undefined state fault in RRAMs,” in Proceedings of IEEE European Test Symposium (ETS), 2021, pp. 1–6.
[35]Y. X. Chen and J. F. Li, “Fault modeling and testing of 1T1R memristor memories,” in Proceedings of VLSI Test Symposium (VTS), 2015, pp. 1–6.
[36]M. Fieback, S. Nagarajan, R. Bishnoi, M. Tahoori, M. Taouil, and S. Hamdioui, “Testing scouting logic-based computation-in-memory architectures,” in Proceedings of European Test Symposium (ETS), 2020, pp. 1–6.
[37]P. Nigh and A. Gattiker, “Random and systematic defect analysis using IDDQ signature analysis for understanding fails and guiding test decisions,” in Proceedings of International Conferce on Test, 2004, pp. 309–318.
[38]F. Hapke, W. Redemund, A. Glowatz, J. Rajski, M. Reese, M. Hustava, M. Keim, J. Schloeffel, and A. Fast, “Cell-aware test,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 9, pp. 1396–1409, 2014.
[39]A. van de Goor and Z. Al-Ars, “Functional memory faults: A formal notation and a taxonomy,” in Proceedings of Proceedings 18th IEEE VLSI Test Symposium, 2000, pp. 281–289.
[40]P.-Y. Chen and S. Yu, “Compact modeling of rram devices and its applications in 1t1r and 1s1r array design,” IEEE Transactions on Electron Devices, vol. 62, no. 12, pp. 4022–4028, 2015.
[41]M. Fieback, M. Taouil, and S. Hamdioui, “Testing resistive memories: Where are we and what is missing?” in Proceedings of IEEE International Test Conference (ITC), 2018, pp. 1–9.
[42]S. N. Mozaffari, S. Tragoudas, and T. Haniotakis, “More efficient testing of metal-oxide memristor–based memory,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 36, no. 6, pp. 1018–1029, 2017.
[43]S. Hamdioui, A. van de Goor, and M. Rodgers, “March SS: A test for all static simple RAM faults,” in Proceedings of IEEE International Workshop on Memory Technology, Design and Testing (MTDT2002), 2002, pp. 95–100.
[44]C. Wu, C. T. Huang, K. L. Cheng, and C. W. Wu, “Simulation-based test algorithm generation for random access memories,” in Proceedings of VLSI Test Symposium, 2000, pp. 291–296.
[45]C. F. Wu, C. T. Huang, and C. W. Wu, “RAMSES: A fast memory fault simulator,” in Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 1999, pp. 165–173.
[46]Y. Luo, X. Cui, M. Luo, and Q. Lin, “A high fault coverage march test for 1T1R memristor array,” in Proceedings of International Conference on Electron Devices and Solid-State Circuits (EDSSC), 2017, pp. 1–2. |