博碩士論文 111521008 詳細資訊




以作者查詢圖書館館藏 以作者查詢臺灣博碩士 以作者查詢全國書目 勘誤回報 、線上人數:13 、訪客IP:3.135.220.9
姓名 蔡堉秭(Yu-Tzu Tsai)  查詢紙本館藏   畢業系所 電機工程學系
論文名稱 實現全後段製程相容性、基於IGZO雙電晶體及鐵電電容器之非揮發性記憶體
(Enabling Fully Back-End-of-Line Compatible in IGZO Based Two Transistor One Ferroelectric Capacitor (2T1F) Non-Volatile Memory)
相關論文
★ 可實現高溫資料保留、多層儲存單元與高耐久度鐵電電晶體之多功能閘極與HfO2/ZrO2超晶格堆疊研究★ H2電漿處理之超薄IGZO種子層的低電壓 鐵電電容器以實現30ns/3V讀寫速度且高儲存密度之每單元3位元FeNAND快閃記憶體
★ 實現瞬時讀取且長耐久性(>10^12次)之三位 元鐵電場效應電晶體製程技術與可靠度分析★ 應力工程和表面能對p型氧化錫(SnO)寬能隙相穩定化的影響
★ 高熱穩定性、長效耐久性 (>1011次 )且具瞬時讀取之多功能閘極 (TiN/Mo/TiOxNy/SL-HZO)層狀堆疊鐵電記憶體技術開發★ 超晶格HfO2/ZrO2結構可靠性及In2O3與TeO2通道材料遷移率的第一原理計算研究
★ 基於氮化鍺碲碳非砷化物選擇器的高熱穩定性、低電壓變異性及高循環耐久性 (>1011) 的物理分析★ 可實現 2.3V大記憶視窗(每單元3位元)、可立即讀取並具有????^??次寫入之鐵電電晶體及其在機器學習之高精確度研究
★ 透過氫電漿處理提升 FeFET 之耐久性、儲 存密度與讀取速度並改善 FeCAM 設計中的 穩定性與漢明距離★ 高速、低能耗、微型1T-PMOS TRNG陣列的設計和特性描述
檔案 [Endnote RIS 格式]    [Bibtex 格式]    [相關文章]   [文章引用]   [完整記錄]   [館藏目錄]   至系統瀏覽論文 ( 永不開放)
摘要(中) 本研究將基於In-Ga-Zn-O(IGZO)之氧化物半導體電晶體(Oxide Semiconductor Field Effect Transistor)與採用HfO2/ZrO2層狀堆疊結構之金屬-鐵電-金屬(MFM)電容整合,展現了具後段製程兼容性潛力之雙電晶體單鐵電電容(2T1F)記憶體。我們使用兩個IGZO通道電晶體,作為寫入與讀取之電晶體,其展現了正臨界電壓且低遲滯現象,滿足元件之非揮發性與可靠度之需求,並整合低退火溫度之鐵電製程開發(≦400°C),使其具備後段製程兼容性。該結構經由調節鐵電電容與讀取電晶體之間的面積比例(Area Ratio (AR) = AMFM/Atransistor),使記憶窗口達到2.5V;在耐久性測試中,該元件在操作電壓1.5V下,經過106次讀寫循環測試後仍保有足夠的記憶窗口。該研究不僅實現了具後段製程兼容性的大記憶窗口與低操作電壓的技術,還展示了其在高密度、低功耗記憶體設計中的應用潛力,這對於未來需要大量數據處理與儲存的人工智能(AI)運算和記憶功能有重要的推動作用。
摘要(英) This study successfully integrates an oxide semiconductor field-effect transistor (OSFET) based on In-Ga-Zn-O (IGZO) with a Metal-Ferroelectric-Metal (MFM) capacitor using an HfO2/ZrO2 layered stack structure, achieving a back-end-of-line (BEOL) compatible two-transistor-one-capacitor (2T1F) ferroelectric memory. Utilizing two IGZO channel transistors for writing and reading, which exhibit positive threshold voltages and low hysteresis, fulfills the requirements for non-volatility and reliability. The integration involves a low annealing temperature ferroelectric process (?400°C), ensuring back-end-of-line compatibility. By tuning the area ratio (AR = AMFM/Atransistor) between the ferroelectric capacitor and the readout transistor, a memory window of 2.5V was attained. In endurance testing, the device retained a sufficient memory window after 106 read/write cycles at an operating voltage of 1.5V.
This research not only demonstrates a BEOL-compatible technology with a large memory window and low operating voltage, but also reveals its potential in high-density, low-power memory designs. This is expected to significantly advance future artificial intelligence (AI) computation and memory functions that require extensive data processing and storage capabilities.
關鍵字(中) ★ 鐵電記憶體
★ 氧化物半導體
關鍵字(英)
論文目次 目錄
摘要……………………………………………………………………………………i
Abstract………………………………………………………………………………ii
致謝…………………………………………………………………………………iii
目錄…………………………………………………………………………………v
圖目錄………………………………………………………………………………vi
第一章 序論與文獻回顧 1
1.1鐵電(Ferroelectricity) 1
1.1.1傳統鐵電材料 1
1.1.2 氧化鉿鋯鐵電薄膜(HfxZr1-xO2,HZO) 2
1.1.3 鐵電極化機制 3
1.2鐵電材料在非揮發性記憶體中的應用 4
1.2.1 FeRAM 4
1.2.2 FeFET 5
1.2.3 MFMFET 6
1.3基於鐵電材料之記憶體面臨的問題 7
1.3.1 微縮性(Scalability) 7
1.3.2 熱預算(Thermal Budget) 8
1.3.3 耐久性(Endurance) 8
1.3.4 資料保留度(data retention) 9
1.4新型結構鐵電記憶體 10
1.4.1 3D結構鐵電記憶體 11
1.4.2 2T1C鐵電記憶體 11
1.5氧化物半導體通道(Oxide Semiconductor Channel) 13
1.5.1氧化物半導體的特性 13
1.5.1氧化物半導體的2T1C的整合可能性 13
第二章 實驗製程 33
2.1 製程流程 33
2.2 薄膜製程 33
2.3 熱退火處理 34
2.4 黃光製程 34
2.5 蝕刻製程 34
2.6 金屬導線連接 35
第三章 2T1F記憶體之操作與量測 42
3.1 元件架構及量測設置 42
3.2 寫入操作 42
3.3 讀取操作 43
3.4 資料保留度量測 43
3.5 耐久性量測 43
第四章 結果與討論 50
4.1 HZO鐵電電容 50
4.2 IGZO通道電晶體 51
4.3 記憶窗口(Memory window) 52
4.3.1 面積比例調變的影響 52
4.3.2 不同寫入電壓之分析 53
4.3.3 不同讀取電壓之分析 53
4.4 資料保留度 54
4.5 耐久性 55
第五章 結論與未來展望 66
參考文獻 67
附錄 77
參考文獻 [1] Lone et al. “Multiferroi ABO3 Transition Metal Oxides: a Rare Interaction of Ferroelectricity and Magnetism” Nanoscale Research Letters 14, 142 (2019).
[2] J. Valasek, “Piezoelectric and Allied Phenomena in Rochelle Salt,” Phys. Rev., 17, 475–81, 1921.
[3] J. Muller, E. Yurchuk, T. Schlosser et al., “Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG.” Symposium on VLSI Technology (VLSIT), pp. 25-26, 2012.
[4] T. Boscke, J. Muller, D. Braeuhaus, et al., “Ferroelectricity in Hafnium Oxide Thin
Films,” Applied Physics Letters, vol. 99, pp. 102903-102903, 2011.
[5] T. Mikolajick et al., “Next generation ferroelectric materials for semiconductor process integration and their applications” J. Appl. Phys. 129, 100901, MARCH 2021.
[6] N. Gong and T. -P. Ma, "Why Is FE–HfO2 More Suitable Than PZT or SBT for Scaled Nonvolatile 1-T Memory Cell? A Retention Perspective," IEEE Electron Device Letters, vol. 37, no. 9, pp. 1123-1126, Sept. 2016.
[7] Takashi Onaya, et al., “Improvement in ferroelectricity and breakdown voltage of over 20-nm-thick HfxZr1?xO2/ZrO2 bilayer by atomic layer deposition,” Appl. Phys. Lett. 117, 232902 (2020).
[8] Johannes Muller, et al., “Ferroelectricity in Simple Binary ZrO2 and HfO2,” Nano Letters, Volume 12, Issue 8 August 8, 2012, p3881-p4416.
[9] C.-I. Wang, et al., J. Mater. Chem. C, 2021, 9, 12759–12767.
[10] Richter, C., et al. “Si Doped Hafnium Oxide—A “Fragile” Ferroelectric System”. Adv. Electron. Mater. 3, 1700131 (2017).
[11] C. -Y. Cho, C. -Y. Chiu, S. De and T. -H. Hou, “Exploring BEOL-Compatible Ferroelectricity in Ultra-Thin Hafnium Zirconium Oxide: Thermal Budget, FTJ Characteristics, and Device Reliability,” in IEEE Journal of the Electron Devices Society, 2024.3471819
[12] S. Zhukov, Y. A. Genenko, O. Hirsch, et al., “Dynamics of polarization reversal in
virgin and fatigued ferroelectric ceramics by inhomogeneous field mechanism,” Physical Review B vol. 82, pp. 014109, 2010.
[13] S. Zhukov, Y. A. Genenko, O. Hirsch, et al., “Dynamics of polarization reversal in
virgin and fatigued ferroelectric ceramics by inhomogeneous field mechanism,”
Physical Review B vol. 82, pp. 014109, 2010.
[14] M. Hoffmann, M. Pe?i?, K. Chatterjee, et al., “Direct Observation of Negative
Capacitance in Polycrystalline Ferroelectric HfO2,” Advanced Functional Materials,
vol. 26, pp. 8643-8649, 2016.
[15] N. Gong, X. Sun, H. Jiang, et al., “Nucleation limited switching (NLS) model for
HfO2 based metal-ferroelectric-metal (MFM) capacitors: Switching kinetics and
retention characteristics,” Applied Physics Letters, vol. 112, pp. 262903, 2018.
[16] Sang Mo Yang et al., “Nanoscale studies of defect-mediated polarization switching
dynamics in ferroelectric thin film capacitors,” Current Applied Physics,
Volume 11, Issue 5, Pages 1111-1125, 2011.
[17] Song, Seul Ji, et al. “Alternative interpretations for decreasing voltage with increasing charge in ferroelectric capacitors” Scientific reports 6.1 (2016).
[18] Min Hyuk Park, Han Joon Kim, Yu Jin Kim, Woongkyu Lee, Hyo Kyeom Kim, Cheol Seong Hwang, “Effect of forming gas annealing on the ferroelectric properties of Hf0.5Zr0.5O2 thin films with and without Pt electrodes.” Appl. Phys. Lett. 18 March 2013; 102 (11): 112914.
[19] Robin Khosla and Satinder K. Sharma, “Integration of Ferroelectric Materials: An Ultimate Solution for Next-Generation Computing and Storage Devices,” ACS Applied Electronic Materials 2021 3 (7), 2862-2897.
[20] J. Okuno et al., “High-Endurance and Low-Voltage operation of 1T1C FeRAM Arrays for Nonvolatile Memory Application,” 2021 IEEE International Memory Workshop (IMW), Dresden, Germany, 2021, pp. 1-3.
[21] Xunzhao Yin et al., “Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits,” 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2016, pp. 1-8.
[22] S. Dunkel et al., “A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond,” 2017 IEEE International Electron Devices Meeting (IEDM), pp. 19.7.1-19.7.4, San Francisco, CA, USA, 2017.
[23] Y.-T. Tsai et al., “Enabling the wide memory window and long endurance in hafnia-based FeFET from the perspective of interfacial layer,” 2024 Jpn. J. Appl. Phys. 63 028004.
[24] M. -H. Yan et al., “BEOL-Compatible Multiple Metal-Ferroelectric-Metal (m-MFM) FETs Designed for Low Voltage (2.5 V), High Density, and Excellent Reliability,” IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020, pp. 4.6.1-4.6.4.
[25] Jiachen Li, He Wang, Xinzhe Du, Zhen Luo, Yuchen Wang, Weiping Bai, Xingsong Su, Shengchun Shen, Yuewei Yin, Xiaoguang Li, “High endurance (>1012) via optimized polarization switching ratio for Hf0.5Zr0.5O2-based FeRAM,” Appl. Phys. Lett. 20 February 2023; 122 (8).
[26] S. Dai et al., “Investigation of Hf?.?Zr?.?O? Ferroelectric Films at Low Thermal Budget (300 °C),” in IEEE Transactions on Electron Devices, vol. 71, no. 8, pp. 5150-5155, Aug. 2024.
[27] Y.-T. Tang, C. -S. Pai, Z. -R. Haung, Y.-T. Tsai and Z.-K. Chen, “Morphotropic Phase Boundary-Enhanced Polarization and High-Temperature Retention in Ferroeletric FET,” International VLSI Symposium on Technology, Systems and Applications (VLSI TSA), HsinChu, Taiwan, 2024, pp. 1-2.
[28] H. -K. Peng, J. -Z. Chen and Y. -H. Wu, “Improved Memory Window and Robust Endurance for Ge P-Channel Ferroelectric FET Memory Using Microwave Annealing Followed by Rapid Thermal Annealing,” in IEEE Electron Device Letters, vol. 43, no. 12, pp. 2073-2076, Dec. 2022.
[29] P. Jiang et al., “A 256 Kbit Hf0.5Zr0.5O2-based FeRAM Chip with Scaled Film Thickness (sub-8nm), Low Thermal Budget (350oC), 100% Initial Chip Yield, Low Power Consumption (0.7 pJ/bit at 2V write voltage), and Prominent Endurance (>1012),” International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2023, pp. 1-4.
[30] K. Tahara et al., “Strategy Toward HZO BEOL-FeRAM with Low-Voltage Operation (? 1.2 V), Low Process Temperature, and High Endurance by Thickness Scaling,” 2021 Symposium on VLSI Technology, Kyoto, Japan, 2021, pp. 1-2.
[31] T. Francois et al., “Demonstration of BEOL-compatible ferroelectric Hf0.5Zr0.5O2 scaled FeRAM co-integrated with 130nm CMOS for embedded NVM applications,” IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 15.7.1-15.7.4.
[32] S. Dunkel et al., “A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond,” IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 19.7.1-19.7.4.
[33] Z. Cai, K. Toprasertpong, M. Takenaka and S. Takagi, “HZO Scaling and Fatigue Recovery in FeFET with Low Voltage Operation: Evidence of Transition from Interface Degradation to Ferroelectric Fatigue,” IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023, pp. 1-2.
[34] N. Gong and T. -P. Ma, “A Study of Endurance Issues in HfO2-Based Ferroelectric Field Effect Transistors: Charge Trapping and Trap Generation,” in IEEE Electron Device Letters, vol. 39, no. 1, pp. 15-18, Jan. 2018.
[35] K. Toprasertpong, M. Takenaka and S. Takagi, “Direct Observation of Interface Charge Behaviors in FeFET by Quasi-Static Split C-V and Hall Techniques: Revealing FeFET Operation,” IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 23.7.1-23.7.4.
[36] N. Tasneem et al., “Trap Capture and Emission Dynamics in Ferroelectric Field-Effect Transistors and their Impact on Device Operation and Reliability,” IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021, pp. 6.1.1-6.1.4.
[37] Xiao Pan, T. P Ma, “Retention mechanism study of the ferroelectric field effect transistor,” Appl. Phys. Lett. 4 July 2011; 99 (1): 013505.
[38] Eisuke Tokumitsu et al., “Low voltage operation of non-volatile metal-ferroelectric-metal-insulator semiconductor (MFMIS)-field-effect-transistors (FETs) using Pt/SrBi2Ta2O9/Pt/SrTa2O6/SiON/Si structures,” 2001 Jpn. J. Appl. Phys. 40 2917.
[39] K. Ashikaga and T. Ito, “Analysis of memory retention characteristics of ferroelectric field effect transistors using a simple metal–ferroelectric–metal–insulator–semiconductor structure,” J. Appl. Phys., vol. 85, pp.7471-7476, 1999.
[40] M. -H. Yan et al., “BEOL-Compatible Multiple Metal-Ferroelectric-Metal (m-MFM) FETs Designed for Low Voltage (2.5V), High Density, and Excellent Reliability,” 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020, pp. 4.6.1-4.6.4.
[41] Yoko Yoshimura et al., “Understanding of polarization reversal and charge trapping under imprint in HfO2 -FeFET by charge component analysis,” 2024 Jpn. J. Appl. Phys. 63 04SP02.
[42] H. Kim et al., “Three-Dimensional Integration Approach to High-Density Memory Devices,” in IEEE Transactions on Electron Devices, vol. 58, no. 11, pp. 3820-3828, Nov. 2011.
[43] Ik-Jyae Kim, Min-Kyu Kim, Jang-Sik Lee, “Vertical ferroelectric thin-film transistor array with a 10-nm gate length for high-density three-dimensional memory applications,” Appl. Phys. Lett. 25 July 2022; 121 (4): 042901.
[44] F. Hamzaoglu et al., “13.1 A 1Gb 2GHz embedded DRAM in 22nm tri-gate CMOS technology,” IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 2014, pp. 230-231.
[45] J. Hur, Y. -C. Luo, Z. Wang, W. Shim, A. I. Khan and S. Yu, “A Technology Path for Scaling Embedded FeRAM to 28nm with 2T1C Structure,” IEEE International Memory Workshop (IMW), Dresden, Germany, 2021, pp. 1-4.
[46] S. Slesazeck, T. Ravsher, V. Havel, E. T. Breyer, H. Mulaosmanovic and T. Mikolajick, “A 2TnC ferroelectric memory gain cell suitable for compute-in-memory and neuromorphic application,” 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 38.6.1-38.6.4.
[47] M. -H. Wu et al., “Two-Transistor Metal-Ferroelectric-Metal Field- Effect Transistor (2T-MFMFET) for Scalable Embedded Nonvolatile Memory—Part I: Compact Modeling,” in IEEE Transactions on Electron Devices, vol. 70, no. 12, pp. 6262-6267, Dec. 2023.
[48] M. -H. Wu et al., “Two-Transistor Metal–Ferroelectric–Metal Field-Effect Transistor (2T-MFMFET) for Scalable Embedded Nonvolatile Memory—Part II: Experiment,” in IEEE Transactions on Electron Devices, vol. 70, no. 12, pp. 6268-6272, Dec. 2023.
[49] X. Ma et al., “A 2-Transistor-2-Capacitor Ferroelectric Edge Compute-in-Memory Scheme With Disturb-Free Inference and High Endurance,” in IEEE Electron Device Letters, vol. 44, no. 7, pp. 1088-1091, July 2023.
[50] Dong-Gyu Kim et al., “Selectively Nitrogen Doped ALD-IGZO TFTs with Extremely High Mobility and Reliability,” ACS Applied Materials & Interfaces 2023 15 (26), 31652-31663.
[51] S. Hooda, C. -K. Chen, M. Lal, S. -H. Tsai, E. Zamburg and A. V. -Y. Thean, “Overcoming Negative nFET VTH by Defect-Compensated Low-Thermal Budget ITO-IGZO Hetero-Oxide Channel to Achieve Record Mobility and Enhancement-mode Operation,” IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023, pp. 1-2.
[52] Min Seong Kim, Hyung Tae Kim, Sujin Jung, Young Wook Kim, Sujin Lee, and Hyun Jae Kim, “Enhanced Electrical Properties and Stability in IGZO TFTs via Low-Temperature Activation with MgOx Layer,” ACS Applied Materials & Interfaces 2024 16 (31), 41127-41133.
[53] Y. Liu, Y. Yu, T. Li, Y. Hu, R. Unnithan, E. Skafidas, “High Performance and High Yield Solution Processed IGZO Thin Film Transistors Fabricated with Low-Temperature Annealed Hafnium Dioxide Gate Dielectric,” Adv. Electron. Mater. 2023, 9, 2300415.
[54] N. Yazaki et al., “Effectiveness of c-Axis Aligned Crystalline IGZO FET as Selector Element and Ferroelectric Capacitor Scaling of 1T1C FeRAM,” in IEEE Journal of the Electron Devices Society, vol. 11, pp. 467-472, 2023.
[55] C. Sun et al., “First Demonstration of BEOL-Compatible Ferroelectric TCAM Featuring a-IGZO Fe-TFTs with Large Memory Window of 2.9 V, Scaled Channel Length of 40 nm, and High Endurance of 108 Cycles,” Symposium on VLSI Technology, Kyoto, Japan, 2021, pp. 1-2.
[56] J. Liu et al., “Low-Power and Scalable Retention-Enhanced IGZO TFT eDRAM-Based Charge-Domain Computing,” IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021, pp. 21.1.1-21.1.4.
[57] D. Kim, H. Jeong, G. Pyo, S. J. Heo, S. Baik, S. Kim, H. S. Choi, H.-J. Kwon, J. E. Jang, “Low-Temperature Nanosecond Laser Process of HZO-IGZO FeFETs toward Monolithic 3D System on Chip Integration,” Adv. Sci. 2024, 11, 2401250.
[58] S. Subhechha et al., “Ultra-low Leakage IGZO-TFTs with Raised Source/Drain for Vt > 0 V and Ion > 30 μA/μm,” 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 2022, pp. 292-293.
[59] Haiyan Chen, Hang Luo, Xi Yuan and Dou Zhang, “Constructing a correlation between ferroelectricity and grain sizes in Hf0.5Zr0.5O2 ferroelectric thin films,” CrystEngComm, 2022, 24, 1731.
[60] D. Das, V. Gaddam and S. Jeon, “Demonstration of High Ferroelectricity (P r ~ 29 μC/cm2) in Zr Rich HfxZr1–xO2 Films,” IEEE Electron Device Letters, vol. 41, no. 1, pp. 34-37, Jan. 2020.
[61] Hong D.H., Yoo J.H, Park W.J., Kim. S.W., Kim. J.H., Uhm S.H., Lee H.C., “Characteristics of Hf0.5Zr0.5O2 Thin Films Prepared by Direct and Remote Plasma Atomic Layer Deposition for Application to Ferroelectric Memory,” Nanomaterials 2023, 13, 900.
[62] Abdulazhanov, S., Lederer, M., Lehninger, D. et al., “Influence of antiferroelectric-like behavior on tuning properties of ferroelectric HZO-based varactors,” MRS Advances 6, 530–534 (2021).
[63] Y. -K. Liang et al., “Demonstration of Highly Robust 5 nm Hf0.5Zr0.5O? Ultra-Thin Ferroelectric Capacitor by Improving Interface Quality,” IEEE Electron Device Letters, vol. 42, no. 9, pp. 1299-1302, Sept. 2021.
[64] X. Wang et al., “First Demonstration of BEOL-Compatible MFMIS Fe-FETs with 3D Multi-Fin Floating Gate: In-situ ALD-deposited MFM, LCH of 50 nm, > 2×109 Endurance, and 58.3% Area Saving,” 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023, pp. 1-2.
[65] Z. Zhao et al., “Engineering HZO by Flat Amorphous TiN with 0.3nm Roughness Achieving Uniform c-Axis Alignment, Record High Breakdown Field (~10nm HZO), and Record Final 2Pr of 56 μC/cm2 with Endurance > 4E12,” 2024 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 2024, pp. 1-2.
[66] Jae Hur et al., “Direct comparison of ferroelectric properties in Hf0.5Zr0.5O2 between thermal and plasma-enhanced atomic layer deposition,” 2020 Nanotechnology 31 505707.
[67] J. Zhang et al., “First Demonstration of BEOL-Compatible Atomic-Layer-Deposited InGaZnO TFTs with 1.5 nm Channel Thickness and 60 nm Channel Length Achieving ON/OFF Ratio Exceeding 1011, SS of 68 mV/dec, Normal-off Operation and High Positive Gate Bias Stability,” 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023, pp. 1-2.
[68] D. B. Ruan, P. T. Liu, Y. C. Chiu, P. Y. Kuo, M. C. Yu, K. Z. Kan, T. C. Chien, Y. H. Chen, and S. M. Sze, “D. B. Ruan, P. T. Liu, Y. C. Chiu, P. Y. Kuo, M. C. Yu, K. Z. Kan, T. C. Chien, Y. H. Chen, and S. M. Sze, Thin Solid Films 660, 578 (2018),” Thin Solid Films 660, 578 (2018).
[69] N. C. Su, S. J. Wang and A. Chin, “High-Performance InGaZnO Thin-Film Transistors Using HfLaO Gate Dielectric,” IEEE Electron Device Letters, vol. 30, no. 12, pp. 1317-1319, Dec. 2009.
[70] S. Samanta, K. Han, C. Sun, C. Wang, A. V. -Y. Thean and X. Gong, "Amorphous IGZO TFTs Featuring Extremely-Scaled Channel Thickness and 38 nm Channel Length: Achieving Record High Gm,max of 125 μS/μm at VDS of 1 V and ION of 350 μA/μm," 2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2020, pp. 1-2.
[71] K. Ni et al., “SoC Logic Compatible Multi-Bit FeMFET Weight Cell for Neuromorphic Applications,” 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2018, pp. 13.2.1-13.2.4.
[72] Kasidit Toprasertpong, Kento Tahara, Yukinobu Hikosaka, Ko Nakamura, Hitoshi Saito, Mitsuru Takenaka, and Shinichi Takagi, “Low Operating Voltage, Improved Breakdown Tolerance, and High Endurance in Hf0.5Zr0.5O2 Ferroelectric Capacitors Achieved by Thickness Scaling Down to 4 nm for Embedded Ferroelectric Memory,”
ACS Applied Materials & Interfaces, 2022 14 (45), 51137-51148.
指導教授 唐英瓚(Ying-Tsan Tang) 審核日期 2024-11-26
推文 facebook   plurk   twitter   funp   google   live   udn   HD   myshare   reddit   netvibes   friend   youpush   delicious   baidu   
網路書籤 Google bookmarks   del.icio.us   hemidemi   myshare   

若有論文相關問題,請聯絡國立中央大學圖書館推廣服務組 TEL:(03)422-7151轉57407,或E-mail聯絡  - 隱私權政策聲明